当前位置: X-MOL 学术IEEE Comput. Archit. Lett. › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
MultiPIM: A Detailed and Configurable Multi-Stack Processing-In-Memory Simulator
IEEE Computer Architecture Letters ( IF 1.4 ) Pub Date : 2021-02-24 , DOI: 10.1109/lca.2021.3061905
Chao Yu , Sihang Liu , Samira Khan

Processing-in-Memory (PIM) has being actively studied as a promising solution to overcome the memory wall problem. Therefore, there is an urgent need for a PIM simulation infrastructure to help researchers quickly understand existing problems and verify new mechanisms. However, existing PIM simulators do not consider architectural details and the programming interface that are necessary for a practical PIM system. In this letter, we present MultiPIM, a PIM simulator that models microarchitectural details that stem from supporting multiple memory stacks and massively-parallel PIM cores. On top of the detailed simulation infrastructure, MultiPIM provides an easy-to-use interface for configuring PIM hardware and adapting existing workloads for PIM offloading.

中文翻译:

MultiPIM:详细且可配置的多堆栈内存中处理模拟器

内存中处理(PIM)已作为一种有前途的解决方案而得到积极研究,以克服内存墙问题。因此,迫切需要一种PIM仿真基础架构,以帮助研究人员快速了解现有问题并验证新机制。但是,现有的PIM仿真器没有考虑实际PIM系统所需的体系结构细节和编程接口。在这封信中,我们介绍了MultiPIM,这是一种PIM仿真器,可对微体系结构细节进行建模,这些细节源自支持多个内存堆栈和大规模并行的PIM内核。除了详细的模拟基础架构之外,MultiPIM还提供了易于使用的界面,用于配置PIM硬件和调整现有工作负载以实现PIM卸载。
更新日期:2021-02-24
down
wechat
bug