Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Exploiting Process Variations to Secure Photonic NoC Architectures From Snooping Attacks
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems ( IF 2.7 ) Pub Date : 2020-08-04 , DOI: 10.1109/tcad.2020.3014184
Sai Vineel Reddy Chittamuru , Ishan G Thakkar , Sudeep Pasricha , Sairam Sri Vatsavai , Varun Bhat

The compact size and high wavelength-selectivity of microring resonators (MRs) enable photonic networks-on-chip (PNoCs) to utilize dense-wavelength-division-multiplexing (DWDM) in their photonic waveguides, and as a result, attain high bandwidth on-chip data transfers. Unfortunately, a hardware Trojan (HT) in a PNoC can manipulate the electrical driving circuit of its MRs to cause the MRs to snoop data from the neighboring wavelength channels in a shared photonic waveguide, which introduces a serious security threat. This article presents a framework that utilizes process variation-based authentication signatures along with architecture-level enhancements to protect against data-snooping HT during unicast as well as multicast transfers in PNoCs. The evaluation results indicate that our framework can improve hardware security across various PNoC architectures with minimal overheads of up to 14.2% in average latency and of up to 14.6% in energy-delay-product (EDP).

中文翻译:


利用工艺变化来保护光子 NoC 架构免受窥探攻击



微环谐振器 (MR) 的紧凑尺寸和高波长选择性使得片上光子网络 (PNoC) 能够在其光子波导中利用密集波分复用 (DWDM),从而获得高带宽芯片数据传输。不幸的是,PNoC 中的硬件木马 (HT) 可以操纵其 MR 的电气驱动电路,导致 MR 监听共享光子波导中相邻波长通道的数据,这会带来严重的安全威胁。本文介绍了一个框架,该框架利用基于流程变化的身份验证签名以及架构级增强功能来防止 PNoC 中单播和多播传输期间的数据窥探 HT。评估结果表明,我们的框架可以提高各种 PNoC 架构的硬件安全性,平均延迟最高可达 14.2%,能量延迟乘积 (EDP) 最高可达 14.6%。
更新日期:2020-08-04
down
wechat
bug