当前位置: X-MOL 学术ACM Trans. Archit. Code Optim. › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
MC-DeF
ACM Transactions on Architecture and Code Optimization ( IF 1.5 ) Pub Date : 2021-04-14 , DOI: 10.1145/3447970
George Charitopoulos 1 , Dionisios N. Pnevmatikatos 2 , Georgi Gaydadjiev 3
Affiliation  

Executing complex scientific applications on Coarse-Grain Reconfigurable Arrays ( CGRAs ) promises improvements in execution time and/or energy consumption compared to optimized software implementations or even fully customized hardware solutions. Typical CGRA architectures contain of multiple instances of the same compute module that consist of simple and general hardware units such as ALUs, simple processors. However, generality in the cell contents, while convenient for serving a wide variety of applications, penalizes performance and energy efficiency. To that end, a few proposed CGRAs use custom logic tailored to a particular application’s specific characteristics in the compute module. This approach, while much more efficient, restricts the versatility of the array. To date, versatility at hardware speeds is only supported with Field programmable gate arrays (FPGAs), that are reconfigurable at a very fine grain. This work proposes MC-DeF, a novel Mixed-CGRA Definition Framework targeting a Mixed-CGRA architecture that leverages the advantages of CGRAs by utilizing a customized cell array, and those of FPGAs by incorporating a separate LUT array used for adaptability. The framework presented aims to develop a complete CGRA architecture. First, a cell structure and functionality definition phase creates highly customized application/domain specific CGRA cells. Then, mapping and routing phases define the CGRA connectivity and cell-LUT array transactions. Finally, an energy and area estimation phase presents the user with area occupancy and energy consumption estimations of the final design. MC-DeF uses novel algorithms and cost functions driven by user defined metrics, threshold values, and area/energy restrictions. The benefits of our framework, besides creating fast and efficient CGRA designs, include design space exploration capabilities offered to the user. The validity of the presented framework is demonstrated by evaluating and creating CGRA designs of nine applications. Additionally, we provide comparisons of MC-DeF with state-of-the-art related works, and show that MC-DeF offers competitive performance (in terms of internal bandwidth and processing throughput) even compared against much larger designs, and requires fewer physical resources to achieve this level of performance. Finally, MC-DeF is able to better utilize the underlying FPGA fabric and achieves the best efficiency (measured in LUT/GOPs).

中文翻译:

MC-DeF

在粗粒度可重构阵列上执行复杂的科学应用程序(CGRA) 与优化的软件实现甚至完全定制的硬件解决方案相比,承诺在执行时间和/或能耗方面有所改进。典型的 CGRA 架构包含同一计算模块的多个实例,这些实例由简单和通用的硬件单元组成,例如 ALU、简单的处理器。然而,单元内容的通用性虽然便于服务于各种应用,但会损害性能和能源效率。为此,一些提议的 CGRA 使用针对计算模块中特定应用程序的特定特征量身定制的自定义逻辑。这种方法虽然效率更高,但限制了阵列的多功能性。迄今为止,只有现场可编程门阵列 (FPGA) 才支持硬件速度的多功能性,它可以以非常细的粒度进行重新配置。这项工作提出了 MC-DeF,这是一种针对混合 CGRA 架构的新型混合 CGRA 定义框架,它通过利用定制单元阵列来利用 CGRA 的优势,并通过合并用于适应性的单独 LUT 阵列来利用 FPGA 的优势。提出的框架旨在开发一个完整的 CGRA 架构。首先,单元结构和功能定义阶段创建高度定制的应用程序/域特定 CGRA 单元。然后,映射和路由阶段定义 CGRA 连接和单元 LUT 阵列事务。最后,能源和面积估算阶段向用户展示最终设计的面积占用和能源消耗估算。MC-DeF 使用由用户定义的指标、阈值和面积/能量限制驱动的新算法和成本函数。我们的框架的好处,除了创建快速高效的 CGRA 设计外,还包括为用户提供的设计空间探索功能。通过评估和创建九个应用程序的 CGRA 设计来证明所提出框架的有效性。此外,我们将 MC-DeF 与最先进的相关工作进行了比较,并表明即使与更大的设计相比,MC-DeF 也能提供具有竞争力的性能(在内部带宽和处理吞吐量方面),并且需要更少的物理资源来达到这一性能水平。最后,MC-DeF 能够更好地利用底层 FPGA 架构并实现最佳效率(以 LUT/GOP 衡量)。通过评估和创建九个应用程序的 CGRA 设计来证明所提出框架的有效性。此外,我们将 MC-DeF 与最先进的相关工作进行了比较,并表明即使与更大的设计相比,MC-DeF 也能提供具有竞争力的性能(在内部带宽和处理吞吐量方面),并且需要更少的物理资源来达到这一性能水平。最后,MC-DeF 能够更好地利用底层 FPGA 架构并实现最佳效率(以 LUT/GOP 衡量)。通过评估和创建九个应用程序的 CGRA 设计来证明所提出框架的有效性。此外,我们将 MC-DeF 与最先进的相关工作进行了比较,并表明即使与更大的设计相比,MC-DeF 也能提供具有竞争力的性能(在内部带宽和处理吞吐量方面),并且需要更少的物理资源来达到这一性能水平。最后,MC-DeF 能够更好地利用底层 FPGA 架构并实现最佳效率(以 LUT/GOP 衡量)。并且需要更少的物理资源来实现这一性能水平。最后,MC-DeF 能够更好地利用底层 FPGA 架构并实现最佳效率(以 LUT/GOP 衡量)。并且需要更少的物理资源来实现这一性能水平。最后,MC-DeF 能够更好地利用底层 FPGA 架构并实现最佳效率(以 LUT/GOP 衡量)。
更新日期:2021-04-14
down
wechat
bug