当前位置: X-MOL 学术J. Microelectromech. Syst. › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Highly-Conformal Sputtered Through-Silicon Vias With Sharp Superconducting Transition
Journal of Microelectromechanical Systems ( IF 2.5 ) Pub Date : 2021-02-03 , DOI: 10.1109/jmems.2021.3049822
J. A. Alfaro-Barrantes 1 , M. Mastrangeli 1 , D. J. Thoen 2 , S. Visser 3 , J. Bueno 2 , J. J. A. Baselmans 2 , P. M. Sarro 1
Affiliation  

This paper describes the microfabrication and electrical characterization of aluminum-coated superconducting through-silicon vias (TSVs) with sharp superconducting transition above 1 K. The sharp superconducting transition was achieved by means of fully conformal and void-free DC-sputtering of the TSVs with Al, and is here demonstrated in up to $500~\mu \text{m}$ -deep vias. Full conformality of Al sputtering was made possible by shaping the vias with a tailored hourglass profile, which allowed a metallic layer as thick as 430 nm to be deposited in the center of the vias. Single-via electric resistance as low as 160 $\text{m}\Omega $ at room temperature and superconductivity at 1.27 K were measured by a three-dimensional (3D) cross-bridge Kelvin resistor structure. This work establishes a CMOS-compatible fabrication process suitable for arrays of superconducting TSVs and 3D integration of superconducting silicon-based devices. [2020-0354]

中文翻译:

高度共形的溅射硅通孔,具有尖锐的超导过渡

本文介绍了具有超过1 K的尖锐超导过渡的铝涂层超导硅通孔(TSV)的微制造和电特性。 Al,并在此处进行了演示 $ 500〜\ mu \ text {m} $ -深通孔。通过以定制的沙漏轮廓对通孔进行整形,可以实现Al溅射的完全保形,从而可以在通孔的中心沉积厚度为430 nm的金属层。单通电阻低至160 $ \ text {m} \ Omega $ 在室温下,通过三维(3D)跨桥开尔文电阻器结构测量了1.27 K的超导性。这项工作建立了适用于超导TSV阵列和超导硅基器件的3D集成的CMOS兼容制造工艺。[2020-0354]
更新日期:2021-04-06
down
wechat
bug