当前位置: X-MOL 学术arXiv.cs.AR › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Control Variate Approximation for DNN Accelerators
arXiv - CS - Hardware Architecture Pub Date : 2021-02-18 , DOI: arxiv-2102.09642
Georgios Zervakis, Ourania Spantidi, Iraklis Anagnostopoulos, Hussam Amrouch, Jörg Henkel

In this work, we introduce a control variate approximation technique for low error approximate Deep Neural Network (DNN) accelerators. The control variate technique is used in Monte Carlo methods to achieve variance reduction. Our approach significantly decreases the induced error due to approximate multiplications in DNN inference, without requiring time-exhaustive retraining compared to state-of-the-art. Leveraging our control variate method, we use highly approximated multipliers to generate power-optimized DNN accelerators. Our experimental evaluation on six DNNs, for Cifar-10 and Cifar-100 datasets, demonstrates that, compared to the accurate design, our control variate approximation achieves same performance and 24% power reduction for a merely 0.16% accuracy loss.

中文翻译:

DNN加速器的控制变量逼近

在这项工作中,我们为低误差近似深度神经网络(DNN)加速器介绍了一种控制变量近似技术。蒙特卡罗方法中使用了控制变量技术来实现方差减少。与最新技术相比,我们的方法由于DNN推理中的近似乘法而显着降低了导致的错误,而无需花费大量时间进行重新训练。利用控制变量方法,我们使用高度近似的乘法器来生成功率优化的DNN加速器。我们针对Cifar-10和Cifar-100数据集的六个DNN的实验评估表明,与精确设计相比,我们的控制变量逼近可实现相同的性能和24%的功耗降低,而准确性损失仅为0.16%。
更新日期:2021-02-22
down
wechat
bug