当前位置: X-MOL 学术Micro Nano Syst. Lett. › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
Low-temperature smoothing method of scalloped DRIE trench by post-dry etching process based on SF6 plasma
Micro and Nano Systems Letters ( IF 4.7 ) Pub Date : 2020-08-03 , DOI: 10.1186/s40486-020-00116-x
Jin Soo Park , Dong-Hyun Kang , Seung Min Kwak , Tae Song Kim , Jung Ho Park , Tae Geun Kim , Seung-Hyub Baek , Byung Chul Lee

Deep reactive-ion etching (DRIE) is commonly used for high aspect ratio silicon micromachining. However, scalloping, which is the result of the alternating Bosch process of DRIE, can cause many problems in the subsequent process and degrade device performance. In this work, we propose a simple and effective method to smoothen the scalloping of DRIE trenches. The proposed method utilizes sidewall dry etching by reactive-ion etching (RIE) based sulfur hexafluoride (SF6) plasmas, following the DRIE process. To investigate the effect of the etch parameter on the scallop smoothing effect, the radio frequency (RF) power and gas flow are controlled. After the RIE treatment, the scallop smoothing effects were evaluated by measuring the average scallop depth under each condition. The scallop depth was reduced by 91% after implementing the scallop smoothing technique using RIE. Thus, our smoothening method based on SF6 plasmas would provide broad availabilities and applicability in silicon micromachining with the simple low-temperature process.

中文翻译:

基于SF 6等离子体的干法刻蚀扇形DRIE沟槽的低温平滑方法

深反应离子刻蚀(DRIE)通常用于高深宽比的硅微加工。但是,扇贝化是DRIE交替进行Bosch工艺的结果,会在后续工艺中引起许多问题,并降低器件性能。在这项工作中,我们提出了一种简单有效的方法来平滑DRIE沟槽的扇形。所提出的方法在DRIE工艺之后,利用基于反应离子刻蚀(RIE)的六氟化硫(SF6)等离子体进行侧壁干法刻蚀。为了研究蚀刻参数对扇贝平滑效果的影响,控制了射频(RF)功率和气体流量。在RIE处理之后,通过测量每种条件下的平均扇贝深度来评价扇贝的平滑效果。使用RIE实现扇贝平滑技术后,扇贝深度减少了91%。因此,我们基于SF6等离子体的平滑方法将以简单的低温工艺在硅微加工中提供广泛的可用性和适用性。
更新日期:2020-08-03
down
wechat
bug