当前位置: X-MOL首页全球导师 国内导师 › 李学清

个人简介

Appointment and Education Experience Associate Professor (01/2021-present), The Department of Electronic Engineering, Tsinghua University, Beijing, China Assistant Professor (01/2018-12/2020), The Department of Electronic Engineering, Tsinghua University, Beijing, China PostDoc Associate (09/2013-12/2017), EECS, The Pennsylvania State University, USA Ph.D. (08/2007-07/2013), The Department of Electronic Engineering, Tsinghua University, Beijing, China Thesis Title: Wideband High-Dynamic-Range D/A Converter Design B.S. (08/2003-07/2007), Department of Electronic Engineering, Tsinghua University, Beijing, China Honors and Awards 2023 CCF Senior Member 2022 ZHENG Junli Award (郑君里教学奖) 2022 Tsinghua University Faculty Excellence Award (清华大学先进个人) 2022 Tsinghua University Outstanding Teaching Award (课程思政模范教师) 2022 EE Department Outstanding Undergraduate Thesis Advisor Award (Student: Jianfeng Wang,清华大学电子系优秀本科生毕业设计论文) 2022 EE Department Outstanding Undergraduate Thesis Advisor Award (Student: Xiaoyang Ma,清华大学电子系优秀本科生毕业设计论文) 2022 The National Training Program of Innovation and Entrepreneurship for Undergraduates Advisor Award (Student: Taixin Li, 国家级大学生创新创业训练项目) 2021 Tsinghua University EE Department Faculty Excellence Award 2021 Beijing Outstanding Undergraduate Thesis Advisor Award (Students: Hongtao Zhong,北京市优秀本科生毕业设计论文) 2021 Tsinghua University Outstanding Undergraduate Thesis Advisor Award (Student: Hongtao Zhong,清华大学优秀本科生毕业设计论文) 2022 EE Department Outstanding Undergraduate Thesis Advisor Award (Student: Yiming Chen,清华大学电子系优秀本科生毕业设计论文) 2021 The National Training Program of Innovation and Entrepreneurship for Undergraduates Advisor Award (Student: Xiaoyang Ma, 国家级大学生创新创业训练项目) 2020 IEEE Senior Member 2020 Tsinghua University Outstanding SRT Program Advisor Award (Students: Mingyen Lee, Wenjun Tang, Bowen Xue) 2020 Spring Tsinghua University Excellent Online Teaching Award (2020春在线教学优秀奖) 2020 The National Training Program of Innovation and Entrepreneurship for Undergraduates Advisor Award (Student: Hongtao Zhong, 国家级大学生创新创业训练项目) 2020 Tsinghua University Outstanding Undergraduate Thesis Advisor Award (Student: Guodong Yin,清华大学优秀本科生毕业设计论文) 2020 Tsinghua University Future Scholar Program Advisor Award (Student: Hongtao Zhong, 未来学者计划) 2020 Tsinghua University EE Department Faculty Excellence Award (先进工作者) 2019 Beijing Outstanding Undergraduate Thesis Advisor Award (Students: Juejian Wu,北京市优秀本科生毕业设计论文) 2019 Tsinghua University Outstanding Undergraduate Thesis Advisor Award (Students: Juejian Wu,清华大学优秀本科生毕业设计论文) 2019 Tsinghua University Outstanding SRT Program Advisor Award (with Students Hongtao Zhong and Mingyang Gu) 2019 National Early-Career Award 2017 DARPA STARnet/LEAST Center Best Publication Award 2017 IEEE Transactions on Multi-Scale Computing Systems (TMSCS) Best Paper Award 2017 ASP-DAC 2017 Best Paper Award 2016 IEEE Micro Top Picks 2015 HPCA Best Paper Award

研究领域

Data-oriented chip-algorithm co-design for AI computing acceleration Other related analog, digital and mixed-signal circuits

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

Yong Liu 刘勇,Taixin Li 李泰昕,Xi Zhu 祝希,Huazhong Yang 杨华中,Xueqing Li 李学清,“基于铁电晶体管的存储与存算一体电路(Memory and Compute-in-Memory Based on Ferroelectric Field Effect Transistors),” Journal of Electronics & Information Technology (电子与信息学报),accepted. Shuang Wang, Weiliang Chen, Xueqing Li, and Huazhong Yang, "A 7nm 10TFLOPS Datacenter-Oriented GPU with 4-Corner Stacked 64GB Memory by The Means of 2.5D Packaging Technology," ASSCC 2023, accepted. Juejian Wu, Tianyu Liao, Taixin Li, Yixin Xu, Vijaykrishnan Narayanan, Yongpan Liu, Huazhong Yang and Xueqing Li, "Lowering Latency of Embedded Memory by Exploiting In-Cell Victim Cache Hierarchy Based on Emerging Multi-Level Memory Devices," ICCAD 2023, accepted. Dengfeng Wang, Liukai Xu, Songyuan Liu, zhi Li, Yiming Chen, Weifeng He, Xueqing Li, and Yanan Sun, "TL-nvSRAM-CIM: Ultra-High-Density Three-Level ReRAM-Assisted Computing-in-nvSRAM with DC-Power Free Restore and Ternary MAC Operations," ICCAD 2023, accepted. Jinshan Yue, Mingtao Zhan, Zi Wang, Yifan He, Yaolei Li, Songming Yu, Wenyu Sun, Lu Jie, Chunmeng Dou, Xueqing Li, Nan Sun, Huazhong Yang, Ming Liu, Yongpan Liu, "A 5.6-89.9TOPS/W Heterogeneous Computing-in-Memory SoC with High-Utilization Producer-Consumer Architecture and High-Frequency Read-Free CIM Macro," 2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and Circuits) Yiming Chen, Mingyen Lee, Guohao Dai, Mufeng Zhou, Nagadastagiri Challapalle, Tianyi Wang, Yao Yu, Yongpan Liu, Yu Wang, Huazhong Yang, Vijaykrishnan Narayanan, and Xueqing Li, “GRAPHIC: Gather and Process Harmoniously in the Cache with High Parallelism and Flexibility,” in IEEE Transactions on Emerging Topics in Computing, accepted. Taixin Li, Boran Sun, Hongtao Zhong, Yixin Xu, Vijaykrishnan Narayanan, Tianyi Wang, Yao Yu, Thomas Kampfe, Kai Ni, Huazhong Yang, and Xueqing Li, “ProtFe: Low-Cost Secure Power Side-Channel Protection for General and Custom FeFET-based Memories,” ACM Transactions on Design Automation of Electronic Systems (TODAES), accepted. Hongtian Li, Jialong Liu, Wenjun Tang, Huazhong Yang, Chen Jiang, Sheng Zhang, and Xueqing Li, "A 66.67kHz 4.953 nJ/Conv-Step 5b Fully Integrated Asynchronous SAR ADC Using 4μm LTPS TFTs," IFETC 2023, accepted. Taixin Li, Hongtao Zhong, Sumitha George, Vijaykrishnan Narayanan, Liang Shi, Huazhong Yang, and Xueqing Li, “Design Exploration of Dynamic Multi-Level Ternary Content-Addressable Memory Using Nanoelectromechanical Relays,” 2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), accepted. Hongtao Zhong, Yu Zhu, Longfei Luo, Chen Wang, Yixin Xu, Tianyi Wang, Yao Yu, Vijaykrishnan Narayanan, Yongpan Liu, Liang Shi, Huazhong Yang, and Xueqing Li, “Fe-GCN: A 3D FeFET Memory Based PIM Accelerator for Graph Convolutional Networks,” 2023 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), accepted Yanan Sun, Dengfeng Wang, Liukai Xu, Yiming Chen, Zhi Li, Songyuan Liu, Weifeng He, Yongpan Liu, Huazhong Yang, and Xueqing Li, “CREAM: Computing in ReRAM-Assisted Energy- and Area-Efficient SRAM for Reliable Neural Network Acceleration,” IEEE Transactions on Circuits and Systems I: Regular Papers Xiaoyang Ma, Shan Deng, Juejian Wu, Zijian Zhao, David Lehninger, Tarek Ali, Konrad Seidel, Sourav De, Xiyu He, Yiming Chen, Huazhong Yang, Vijaykrishnan Narayanan, Suman Datta, Thomas Kampfe, Qing Luo, Kai Ni, and Xueqing Li, "A 2-Transistor-2-Capacitor Ferroelectric Edge Compute-in-Memory Scheme with Disturb-Free Inference and High Endurance," IEEE Electron Device Letters, accepted Yiming Chen, Guodong Yin, Mufeng Zhou, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, and Xueqing Li, "SAMBA: Single-ADC Multi-Bit Accumulation Compute-in-Memory Using Nonlinearity-Compensated Fully-Parallel Analog Adder Tree," IEEE TCAS-I, accepted. Jianfeng Wang, Zhonghao Chen, Yiming Chen, Yixin Xu, Tianyi Wang, Yao Yu, Vijaykrishnan Narayanan, Sumitha George, Huazhong Yang, and Xueqing Li, "WeightLock: a Mixed-Grained Weight Encryption Approach Using Local Decrypting Units for Ciphertext Computing in DNN Accelerators," AICAS2023, accepted. Wenjun Tang, Mingyen Lee, Juejian Wu, Yixin Xu, Yao Yu, Yongpan Liu, Kai Ni, Yu Wang, Huazhong Yang, Vijaykrishnan Narayanan, Xueqing Li, "FeFET-Based Logic-in-Memory Supporting SA-Free Write-Back and Fully Dynamic Access with Reduced Bitline Charging Activity and Recycled Bitline Charge," IEEE TCAS-I, accepted. Mingyen Lee, Wenjun Tang, Yiming Chen, Juejian Wu, Hongtao Zhong, Yixin Xu, Yongpan Liu, Huazhong Yang, Vijaykrishnan Narayanan, and Xueqing Li, "Victor: A Variation-resilient Approach Using Cell-clustered Charge-domain computing for High-density High-throughput MLC CiM," DAC2023, accepted. Hongtao Zhong, Zhonghao Chen, Wenqin Huangfu, Chen Wang, Yixin Xu, Tianyi Wang, Yao Yu, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, and Xueqing Li, "ASMCap: An Approximate String Matching Accelerator for Genome Sequence Analysis Based on Capacitive Content Addressable Memory," DAC2023, accepted. J. Yue, C. He, Z. Wang, Z. Cong, Y. He, M. Zhou, W. Sun, X. Li, C. Dou, F. Zhang, H. Yang, Y. Liu, M. Liu, "A 28nm 16.9-300TOPS/W Computing-in-Memory Processor Supporting Floating-Point NN Inference/Training with Intensive-CIM Sparse Digital Architecture," ISSCC2023, accepted. Y. He, H. Diao, C. Tang, W. Jia, X. Tang, Y. Wang, J. Yue, X. Li, H. Yang, H. Jia, Y. Liu, "A 28nm 38-to-102-TOPS/W 8b Multiply-Less Approximate Digital SRAM Compute-In-Memory Macro for Neural-Network Inference," ISSCC 2023, accepted. Yushen Fu, Chengyu Huang, Longqiang Lai, Nan Sun, Xueqing Li*, and Huazhong Yang, "A 16-Bit 4.0-GS/s Calibration-Free 65nm DAC Achieving >70dBc SFDR and <-80dBc IM3 up to 1GHz with Enhanced Constant-Switching-Activity Data-Weighted-Averaging," IEEE TCAS-I, accepted. Yushen Fu, Chengyu Huang, Limeng Sun, Weiguang Meng, Xueqing Li*, and Huazhong Yang, "A 6.0-GS/s Time-Interleaved DAC Using an Asymmetric Current-Tree Summation Network and Differential Clock Timing Calibration," IEEE TVLSI, accepted. Yiming Chen, Yushen Fu, Mingyen Lee, Sumitha George, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, Xueqing Li, "FAST: A Fully-Concurrent Access SRAM Topology for High Row-wise Parallelism Applications Based on Dynamic Shift Operations," IEEE TCAS-II, accepted. Yi Xiao, Yixin Xu, Zhouhang Jiang, Shan Deng, Zijian Zhao, Antik Mallick, Limeng Sun, Rajiv Joshi, Xueqing Li, Nikhil Shukla, Vijaykrishnan Narayanan, and Kai Ni, "On the Write Schemes and Efficiency of FeFET 1T NOR Array for Embedded Nonvolatile Memory and Beyond," IEDM 2022, accepted. Xiaoyang Ma, Hongtao Zhong, Nuo Xiu, Yiming Chen, Guodong Yin, Vijaykrishnan Narayanan, Yongpan Liu, Kai Ni, Huazhong Yang, and Xueqing Li, "CapCAM: A Multi-Level Capacitive Content Addressable Memory for High-Accuracy and High-Scalability Search and Compute Applications," IEEE TVLSI, accepted. Yiming Chen, Guodong Yin, Mingyen Lee, Wenjun Tang, Zekun Yang, Yongpan Liu, Huazhong Yang, and Xueqing Li, "Hidden-ROM: A Compute-in-ROM Architecture to Deploy Large-Scale Neural Networks on Chip with Flexible and Scalable Post-Fabrication Task Transfer Capability," ICCAD 2022, accepted. Wenjun Tang, Jialong Liu, Huazhong Yang, Chen Jiang, and Xueqing Li, "High-density energy-efficient charge-domain computing based on CAA-IGZO TFT with BEOL-compatible 3D integration," IFETC 2022, accepted. Guodong Yin, Mufeng Zhou, Yiming Chen, Wenjun Tang, Zekun Yang, Mingyen Lee, Xirui Du, Jinshan Yue, Jiaxin Liu, Huazhong Yang, Yongpan Liu, Xueqing Li, "A 65nm 8b-Activation 8b-Weight SRAM-Based Charge-Domain Computing-in-Memory Macro Using A Fully-Parallel Analog Adder Network and A Single-ADC Interface," ESSCIRC 2022, accepted. Yiming Chen, Guodong Yin, Zhanhong Tan, Mingyen Lee, Zekun Yang, Yongpan Liu, Huazhong Yang, Kaisheng Ma and Xueqing Li, "YOLoC: DeploY Large-Scale Neural Network by ROM-based Computing-in-Memory using ResiduaL Branch on a Chip," DAC2022, accepted. Liukai Xu, Songyuan Liu, Zhi Li, Dengfeng Wang, Yiming Chen, Yanan Sun*, Xueqing Li*, Weifeng He and Shi Xu, "CREAM: Computing in ReRAM-assisted Energy and Area-efficient SRAM for Neural Network Acceleration," DAC2022, accepted. Wenjun Tang, Jialong Liu, Hongtian Li, Deyun Chen, Chen Jiang, Xueqing Li*, Huazhong Yang, "Computing-in-Memory with Thin-Film-Transistors: Challenges and Opportunities," Flexible and Printed Electronics, 2022, accepted. Jinshan Yue, Yongpan Liu, Zhe Yuan, Xiaoyu Feng, Yifan He, Zhixiao Zhang, Xin Si, Ruhui Liu, Meng-Fan Chang, Chunmeng Dou, Xueqing Li, Ming Liu, Huazhong Yang, "STICKER-IM: A 65nm Computing-in-Memory NN Processor Using Block-Wise Sparsity Optimization and Inter/Intra-Macro Data Reuse," JSSC, accepted, 2022. Jianfeng Wang, Nuo Xiu, Juejian Wu, Yiming Chen, Yanan Sun, Huazhong Yang, Vijaykrishnan Narayanan, Sumitha George, Xueqing Li, "An 8T/Cell FeFET-Based Nonvolatile SRAM with Improved Density and Sub-fJ Backup and Restore Energy," ISCAS 2022, Accepted. Yushen FU, Chengyu HUANG, Limeng SUN, Xueqing Li*, Huazhong YANG*, "Methods for reducing timing mismatch of high-speed current-steering digital-to-analog converter," SCIENCE CHINA Information Sciences, 2022, Accepted.[[link]] Jingyu Wang, Songming Yu, Zhuqing Yuan, Jinshan Yue, Zhe Yuan, Ruoyang Liu, Yanzhi Wang, Huazhong Yang, Xueqing Li, Yongpan Liu, "PACA: A Pattern Pruning Algorithm and Channel-Fused High PE Utilization Accelerator for CNNs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, accepted 2022. Songming Yu, Lu Zhang, Jingyu Wang, Jinshan Yue, Zhuqing Yuan, Xueqing Li, Huazhong Yang, Yongpan Liu, "High Area/Energy Efficiency RRAM CNN Accelerator with Pattern-Pruning-Based Weight Mapping Scheme," in 2021 IEEE 10th Non-Volatile Memory Systems and Applications Symposium (NVMSA), 2021 Yixiong Yang, Yongpan Liu, Zhe Yuan, Wenyu Sun, Ruoyang Liu, Jingyu Wang, Jinshan Yue, Xiaoyu Feng, Zhuqing Yuan, Xueqing Li, Huazhong Yang, "A 65nm Energy-Efficient Inter-Frame Data Reuse Neural Network Accelerator for Video Applications," in IEEE Journal of Solid-State Circuits, accepted, doi: 10.1109/JSSC.2021.3126625. Jialong Liu, Chen Sun, Wenjun Tang, Zijie Zheng, Yongpan Liu, Huazhong Yang, Chen Jiang, Kai Ni, Xiao Gong, and Xueqing Li, "Low-Power and Scalable Retention-Enhanced IGZO TFT eDRAM-Based Charge-Domain Computing," IEDM 2021, accepted. Hongtao Zhong, Shengjie Cao, Li Jiang, Xia An, Vijaykrishnan Narayanan, Yongpan Liu, Huazhong Yang, Xueqing Li, "DyTAN: Dynamic Ternary Content Addressable Memory Using Nanoelectromechanical Relays," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, accepted, DOI (identifier) 10.1109/TVLSI.2021.3115622. Hongyi Liu, Jian Zhao, Yuhang Zhang, Fan Xiao, Yaxin Liu, Xueqing Li, Xiuyan Li, Yongfu Li, "Analysis of Using Negative Capacitance FETs to Optimize Linearity Performance for Voltage Reference Generators," in IEEE Transactions on Electron Devices, early access, doi: 10.1109/TED.2021.3108747. Xinrui Guo, Xiaoyang Ma, Franz Muller, Ricardo Olivo, Juejian Wu, Kai Ni, Thomas Kampfe, Yongpan Liu, Huazhong Yang, and Xueqing Li,"Exploiting FeFET Switching Stochasticity for Low-Power Reconfigurable Physical Unclonable Function," ESSCIRC2021, accepted.[] Chengyu Huang, Yushen Fu, Zekun Yang, Yang Liu, Nan Sun, Xueqing Li, and Huazhong Yang, "A 16-Bit 4.0-GS/s Calibration-Free 65nm DAC with >70dBc SFDR and <-80dBc IM3 up to 1GHz Using Constant-Activity Element Switching," ESSCIRC2021, accepted.[] Nuo Xiu, Yiming Chen, Guodong Yin, Xiaoyang Ma, Huazhong Yang, Sumitha George, Xueqing Li,"Capacitive Content-Addressable Memory: A Highly Reliable and Scalable Approach to Energy-Efficient Parallel Pattern Matching Applications," GLSVLSI2021, accepted.[] Jialong Liu, Wenjun Tang, Yongpan Liu, Huazhong Yang, Xueqing Li, "Almost-Nonvolatile IGZO-TFT-Based Near-Sensor In-Memory Computing," ISCAS2021, accepted. Yang Liu, Yushen Fu, Huazhong Yang, Xueqing Li, "Dynamic Switching Sequence to Compensate the Integral Nonlinearity in Current-steering DACs," ISCAS2021, accepted. Zekun Yang, Pei Yang, Xiumei Yin, Xueqing Li, Huazhong Yang, "Reducing Signal Swing Overheads to only 8% in Background 3rd-order Inter-Stage Gain Error Calibration for Pipeline ADCs," ISCAS2021, accepted. Guodong Yin, Yi Cai, Juejian Wu, Zhengyang Duan, Zhenhua Zhu, Yongpan Liu, Yu Wang, Huazhong Yang, and Xueqing Li, "Enabling Lower-Power Charge-Domain Nonvolatile In-Memory Computing with Ferroelectric FETs," IEEE TCAS-II, accepted. Hongtao Zhong, Shengjie Cao, Huazhong Yang, and Xueqing Li, "Dynamic Ternary Content-Addressable Memory Is Indeed Promising: Design and Benchmarking Using Nanoelectromechanical Relays," DATE 2021, accepted. Jinshan Yue, Xiaoyu Feng, Yifan He, Yuxuan Huang, Yipeng Wang, Zhe Yuan, Mingtao Zhan, Jiaxin Liu, Jian-Wei Su, Yen-Lin Chung, Ping-Chun Wu, Li-Yang Hung, Meng-Fan Chang, Nan Sun, Xueqing Li, Huazhong Yang, Yongpan Liu, "A 2.75-to-75.9 TOPS/W Computing-in-Memory NN Processor Supporting Set-Associate Block-Wise Zero Skipping and Ping-Pong CIM with Simultaneous Computation and Weight Updating," ISSCC2021. Jinshan Yue, Yongpan Liu, Ruoyang Liu, Wenyu Sun, Zhe Yuan, Yung-Ning Tu, Yi-Ju Chen, Ao Ren, Yanzhi Wang, Meng-Fan Chang, Xueqing Li, Huazhong Yang,"STICKER-T: An Energy Efficient Neural Network Processor Using Block-Circulant Algorithm and Unified Frequency-Domain Acceleration," JSSC, accepted. Keni Qiu, Mengying Zhao, Zheng Jia, Jingtong Hu, Chun (Jason) Xue, Kaisheng Ma, Xueqing Li, Yongpan Liu, Vijaykrishnan Narayanan, "Design Insights of Non-volatile Processors and Accelerators in Energy Harvesting Systems," GLSVLSI2020, accepted. Shan Deng, Zhan Liu, Xueqing Li, TP Ma, Kai Ni, "Guidelines for Ferroelectric FET Reliability Optimization: Charge Matching," IEEE Electron Devices Letters, accepted. Mingyen Lee, Wenjun Tang, Bowen Xue, Juejian Wu, Mingyuan Ma, Yu Wang, Yongpan Liu, Deliang Fan, Vijaykrishnan Narayanan, Huazhong Yang and Xueqing Li, "FeFET-Based Low-Power Bitwise Logic-in-Memory with Direct Write-Back and Data-Adaptive Dynamic Sensing Interface," in ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED'20), accepted. Jingyu Wang, Songming Yu, Jinshan Yue, Zhe Yuan, Zhuqing Yuan, Huazhong Yang, Xueqing Li, and Yongpan Liu, "High PE Utilization CNN Accelerator with Channel Fusion Supporting Pattern-Compressed Sparse Neural Networks," The Design Automation Conference (DAC'20), Accepted, 2020. Hongtao Zhong, Mingyang Gu, Yu Wang, Yongpan Liu, Vijaykrishnan Narayanan, Huazhong Yang, and Xueqing Li, "One-Shot Refresh: A Low-Power Low-Congestion Approach for Dynamic Memories," IEEE Transactions on Circuits and Systems - II, accepted. Shan Deng, Guodong Yin, Wriddhi Chakraborty, Sourav Dutta, Suman Datta, Xueqing Li, and Kai Ni, "A Comprehensive Model for Ferroelectric FET Capturing the Key Behaviors: Scalability, Variation, Stochasticity, and Accumulation," in VLSI Symposium Technology 2020, accepted. Je-Min Hung, Xueqing Li, Juejian Wu, Meng-Fan Chang,"Challenges and Trends in Developing Nonvolatile Memory Enabled Computing Chips for Intelligent Edge Devices," IEEE Transactions on Electron Devices, accepted. Jinshan Yue, Zhe Yuan, Xiaoyu Feng, Yifan He, Zhixiao Zhang, Xin Si, Ruhui Liu, Meng-Fan Chang, Xueqing Li, Huazhong Yang, Yongpan Liu, "A 65nm Computing-in-Memory-Based CNN Processor with 2.9-to-35.8TOPS/W System Energy Efficiency Using Dynamic-Sparsity Performance-Scaling Architecture and Energy-Efficient Inter/Intra-Macro Data Reuse," IEEE International Solid-state Circuits Conference (ISSCC), Accepted, 2020. Zhe Yuan, Yixiong Yang, Jinshan Yue, Ruoyang Liu,Xiaoyu Feng, Zhiting Lin, Xiulong Wu, Xueqing Li, Huazhong Yang, Yongpan Liu, "A 65nm 24.7 uJ/Frame 12.3 mW Activation Similarity Aware Convolutional Neural Network Video Processor Using Hybrid Precision Inter Frame Data Reuse and Mixed-Bit-Width Difference Frame Data Codec," IEEE International Solid-state Circuits Conference (ISSCC), Accepted, 2020. Sumitha George, Nicolas Jao, Akshay Krishna Ramanathan, Xueqing Li, Sumeet Kumar Gupta, John Sampson, Vijaykrishnan Narayanan, "Integrated CAM-RAM Functionality using Ferroelectric FETs," ISQED2020, Santa Clara, CA, USA, March 25-26, 2020, accepted. Yuhua Liang, Zhangming Zhu, Xueqing Li, Sumeet Kumar Gupta, Suman Datta, Vijaykrishnan Narayanan, "Mismatch of Ferroelectric Film on Negative Capacitance FETs Performance," IEEE Transactions on Electron Devices, accepted. Juejian Wu, Yixin Xu, Bowen Xue, Yu Wang, Yongpan Liu, Huazhong Yang, and Xueqing Li, "Adaptive Circuit Approaches to Low-Power Multi-Level/Cell FeFET Memory,"" ASP-DAC’20, accepted. Hongtao Zhong, Mingyang Gu, Juejian Wu, Huazhong Yang and Xueqing Li, "Design of Almost-Nonvolatile Embedded DRAM Using Nanoelectromechanical Relay Devices," DATE2020, accepted. Zhe Yuan, Yongpan Liu, Jinshan Yue, Yixiong Yang, Jingyu Wang, Xiaoyu Feng, Jian Zhao, Xueqing Li, Huazhong Yang, "STICKER: An Energy Efficient Multi-Sparsity Compatible Accelerator for Convolutional Neural Networks in 65nm CMOS," in IEEE Journal of Solid-State Circuits, to appear. Yuhua Liang, Zhangming Zhu, Xueqing Li, Sumeet Kumar Gupta, Suman Datta, Vijaykrishnan Narayanan, "Utilization of Negative Capacitance FETs to Boost Analog Circuit Performances," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, accepted. Juejian Wu, Mingyang Gu, Hongtao Zhong, Yunsong Tao, Fei Qiao, Huazhong Yang, and Xueqing Li, "Enabling New Computing Paradigms with Emerging Symmetric-Access Memories," accepted to 15th IEEE / ACM International Symposium on Nanoscale Architectures, 17-19 July 2019, in Qingdao, China. Xueqing Li, Juejian Wu, Kai Ni, Sumitha George, Kaisheng Ma, John Sampson, Sumeet Gupta, Yongpan Liu, Huazhong Yang, Suman Datta, and Vijaykrishnan Narayanan, "Design of 2T/Cell and 3T/Cell Nonvolatile Memories with Emerging Ferroelectric FETs," in IEEE Design & Test, accepted, DoI:10.1109/MDAT.2019.2902094. [LinkToIEEE] Zheyu Liu, Erxiang Ren, Qi Wei, Xing Wu, Xueqing Li, Fei Qiao, Xin-Jun Liu and Huazhong Yang, "A 1.8mW Perception Chip with Near-Sensor Processing Scheme for Low-Power AIoT Applications," ISVLSI 2019, accepted Juejian Wu, Hongtao Zhong, Kai Ni, Yongpan Liu, Huazhong Yang, Xueqing Li, "A 3T/Cell Practical Embedded Nonvolatile Memory Supporting Symmetric Read and Write Access Based on Ferroelectric FETs," in 56th Design Automation Conference (DAC'2019), accepted. Xuedi Wang, Xueqing Li, Longqiang Lai and Huazhong Yang,"A 16b Clockless Digital-to-Analog Converter with Ultra-Low-Cost Poly Resistors Supporting Wide-Temperature Range from -40°C to 85°C," in The 29th edition of the ACM Great Lakes Symposium on VLSI (GLSVLSI), accepted. Jinshan Yue, Ruoyang Liu, Wenyu Sun, Zhe Yuan, Zhibo Wang, Yung-Ning Tu, Yi-Ju Chen, Ao Ren, Yanzhi Wang, Meng-Fan Chang, Xueqing Li, Huazhong Yang, Yongpan Liu, °∞A 65nm 0.39-to-140.3TOPS/W 1-to-12b Unified Neural-Network Processor Using Block-Circulant-Enabled Transpose-Domain Acceleration with 8.1x Higher TOPS/mm2 and 6T HBST-TRAM-Based 2D Data-Reuse Architecture,°± in 2019 International Solid-State Circuit Conference (ISSCC), accepted Srivatsa Srinivasa, Akshay Krishna Ramanathan, Xueqing Li, Wei-Hao Chen, Sumeet Kumar Gupta, Meng-Fan Chang, Swaroop Ghosh, Jack Sampson, and Vijaykrishnan Narayanan, "ROBIN: Monolithic-3D SRAM for Enhanced Robustness with In-memory Computation Support," in IEEE Transactions on Circuits and Systems, accepted. Jinshan Yue, Yongpan Liu, Fang Su, Shuangchen Li, Zhe Yuan, Zhibo Wang, Wenyu Sun, Xueqing Li, and Huazhong Yang, °∞AERIS: Area/Energy-Efficient 1T2R ReRAM Based Processing-in-Memory Neural Network System-on-a-Chip,°± in the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), accepted Longqiang Lai, Xueqing Li, Yushen Fu, Yongpan Liu, Huazhong Yang, "Demystifying and Mitigating Code-Dependent Switching Distortions in Current-Steering DACs," in IEEE Transactions on Circuits and Systems I: Regular Papers, vol. 66, no. 1, pp. 68-81, Jan. 2019.[LinkToIEEE] Ahmedullah Aziz, Sandeep Krishna Thirumala, Danni Wang, Sumitha George, Xueqing Li, Suman Datta, Vijaykrishnan Narayanan, Sumeet Kumar Gupta, “Sensing in Ferroelectric Memories and Flip-Flops,” Springer “Sensing of Non-Volatile Memory Demystified”, 2019 Yongpan Liu, Fang Su, Yixiong Yang, Zhibo Wang, Yiqun Wang, Zewei Li, Xueqing Li, Ryuji Yoshimura, Takashi Naiki, Takashi Tsuwa, Takahiko Saito, Zhongjun Wang, Koji Taniuchi, Huazhong Yang, "A 130-nm Ferroelectric Nonvolatile System-on-Chip With Direct Peripheral Restore Architecture for Transient Computing System," in IEEE Journal of Solid-State Circuits, to appear. DOI: 10.1109/JSSC.2018.2884349 Kai Ni, Xueqing Li, Jeffrey A. Smith, Matthew Jerry, and Suman Datta, "Write Disturb in Ferroelectric FETs and Its Implication for 1T-FeFET AND Memory Array," IEEE Electron Device Letters, vol. 39, no. 11, pp. 1656-1659, Nov. 2018.[LinkToIEEE] Yuhua Liang, Xueqing Li, Sumitha George, Srivatsa Srinivasa, Zhangming Zhu, Sumeet Kumar Gupta, Suman Datta, Vijaykrishnan Narayanan, "Influence of Body Effect on Sample-and-Hold Circuit Design Using Negative Capacitance FET," IEEE Transactions on Electron Devices, vol.65, no.9, September 2018. [LinkToIEEE] Yuhua Liang, Xueqing Li, Sumeet Kumar Gupta, Suman Datta, and Vijaykrishnan Narayanan, "Analysis of DIBL Effect and Negative Resistance Performance for NCFET Based on a Compact SPICE Model," IEEE Transactions on Electron Devices, vol. 65, no. 12, pp. 5525-5529, Dec. 2018.[LinkToIEEE] Xueqing Li and Longqiang Lai, "Nonvolatile Memory and Computing Using Emerging Ferroelectric Transistors," in 2018 IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Hong Kong, 2018, pp. 750-755. [[LinkToIEEE]] Xueqing Li, Sumitha George, Kaisheng Ma, Kai Ni, Ahmedullah Aziz, Sumeet Gupta, John Sampson, Meng-Fan Chang, Yongpan Liu, Huazhong Yang, Suman Datta, and Vijaykrishnan Narayanan, "Lowering Area Overheads for FeFET-Based Energy-Efficient Nonvolatile Flip-Flops," in IEEE Transactions on Electron Devices, vol. 65, no. 6, pp. 2670-2674, June 2018. [LinkToIEEE] Longqiang Lai, Xueqing Li, Huazhong Yang, "Redundancy-bandwidth scalable techniques for signal-independent element transition rates in high-speed current-steering DACs," International Journal of Circuit Theory and Applications, vol.46, no.5, pp. 1006-1027, Feb 2018. , [LinkToIJCTA] Zhe Yuan, Jinshan Yue, Huarui Yang, Zhibo Wang, Jinyang Li, Yixiong Yang, Qinwei Guo, Xueqing Li, Meng-Fan Chang, Huazhong Yang, and Yongpan Liu, "STICKER: A 0.41-62.1 TOPS/W 8bit Neural Network Processor with Multi-Sparsity Compatible CNN Arrays and Online Learning Acceleration for Fully Connected Layers", IEEE VLSI Symposium 2018. Kaisheng Ma, Jinyang Li, Xueqing Li, Yongpan Liu, Yuan Xie, Mahmut Kandemir, Jack Sampson, Vijaykrishnan Narayanan, "IAA: Incidental Approximate Architectures for Extremely Energy-Constrained Energy Harvesting Scenarios using IoT Nonvolatile Processors," IEEE Micro, vol.38, no.4, pp.11-19, July/August 2018. [LinkToIEEE] Srivatsa Rangachar Srinivasa, Akshay Krishna Ramanathan, Xueqing Li, Wei-Hao Chen, Fu-KuoHsueh, Chih-Chao Yang, Chang-Hong Shen, Jia-Min Shieh, Sumeet Gupta, Meng-Fan Marvin Chang, Swaroop Ghosh, John Sampson, and Vijaykrishnan Narayanan, "A Monolithic-3D SRAM Design with Enhanced Robustness and In-Memory Computation Support," ISLPED2018. Sumitha George, Xueqing Li, Minli Julie Liao, Kaisheng Ma, Srivatsa Srinivasa, Karthik Mohan, Ahmedullah Aziz, John Sampson, Sumeet Kumar Gupta, and Vijaykrishnan Narayanan, "Symmetric 2-D-Memory Access to Multidimensional Data," in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 6, pp. 1040-1050, June 2018.[LinkToIEEE] Longqiang Lai, Xueqing Li, Jianan Liu, and Huazhong Yang, "A 14-Bit 500-MS/s DAC with 211-MHz 70 dB SFDR Bandwidth Using TRI-DEMRZ," Springer Analog Integrated Circuits and Signal Processing.[LinkToSpringer], Srivatsa Srinivasa, Xueqing Li, Meng-Fan Chang, John Sampson, Sumeet Kumar Gupta, and Vijaykrishnan Narayanan, "Compact 3-D-SRAM Memory with Concurrent Row and Column Data Access Capability Using Sequential Monolithic 3-D Integration," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 26, no. 4, pp. 671-683, April 2018, doi: 10.1109/TVLSI.2017.2787562[LinkToIEEE] Kaisheng Ma, Xueqing Li, Mahmut Taylan Kandemir, Jack Sampson, Vijaykrishnan Narayanan, Jinyang Li, Tongda Wu, Zhibo Wang, Yongpan Liu, Yuan Xie, "Neofog: Nonvolatility-exploiting optimizations for fog computing," in Proceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems, 2018. Xueqing Li, Kaisheng Ma, Sumitha George, Win-San Khwa, John Sampson, Sumeet Gupta, Yongpan Liu, Meng-Fan Chang, Suman Datta, and Vijaykrishnan Narayanan, "Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore," IEEE Transactions on Electron Devices, vol. 64, no. 7, pp. 3037-3040, July 2017. [LinkToIEEE] Xueqing Li, John Sampson, Asif Khan, Kaisheng Ma, Sumitha George, Ahmedullah Aziz, Sumeet Gupta, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta, Vijaykrishnan Narayanan, "Enabling Energy-Efficient Nonvolatile Computing with Negative Capacitance FET," IEEE Transactions on Electron Devices, vol. 64, no. 8, pp. 3452- 3458, August 2017. 2017 DARPA/STARnet (LEAST) BEST PUBLICATION AWARD[LinkToIEEE] Xueqing Li, Sumitha George, Kaisheng Ma, Wei-Yu Tsai, Ahmedullah Aziz, John Sampson, Sumeet Gupta, Meng-Fan Chang, Yongpan Liu, Suman Datta, Vijaykrishnan Narayanan, "Advancing Nonvolatile Computing with Nonvolatile NCFET Latches and Flip-Flops," IEEE Transactions On Circuits and Systems I: Regular Papers, vol.64, no.11, pp.2907-2919, November 2017. [LinkToIEEE]. Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, and Vijaykrishnan Narayanan, "Enabling Internet-of-Things with Opportunities Brought by Emerging Devices, Circuits and Architectures," Springer book chapter "VLSI-SoC: System-on-Chip in the Nanoscale Era - Design, Verification and Reliability " . [LinkToSpringerBook] Xueqing Li, Moon Seok Kim, Sumitha George, Ahmedullah Aziz, Matthew Jerry, Nikhil Shukla, John Sampson, Sumeet Gupta, Suman Datta, and Vijaykrishnan Narayanan, "Emerging Steep-Slope Devices and Circuits: Opportunities and Challenges," a Chapter to appear at the Springer Book "Beyond-CMOS Technologies for Next Generation Computer Design" with Editors Dr. Rasit O Topaloglu and Prof. Dr. H.-S. Philip Wong: [LinkToSpringer] Kaisheng Ma, Xueqing Li, Jinyang Li, Yongpan Liu, Yuan Xie, Jack Sampson, Mahmut Taylan Kandemir, Vijaykrishnan Narayanan, "Incidental computing on IoT nonvolatile processors," in Proceedings of the 50th Annual IEEE/ACM International Symposium on Microarchitecture (Micro-50'17), pp. 204-218, 2017.[LinkToACM] Kaisheng Ma, Xueqing Li, Xiao Shen, Yiqun Wang, Huichu Liu, Shuangchen Li, Karthik Swaminathan, Yongpan Liu, Yuan Xie, John Sampson, Vijaykrishnan Narayanan, "Dynamic power and energy management for energy harvesting nonvolatile processor systems," ACM Transactions on Embedded Computing Systems (TECS), vol. 16, issue 4, September 2017. [LinkToACM]. Kaisheng Ma, Xueqing Li, Srivatsa Rangachar Srinivasa, Yongpan Liu, John Sampson, Yuan Xie, Vijaykrishnan Narayanan, "Spendthrift: Machine Learning Based Resource and Frequency Scaling for Ambient Energy Harvesting Nonvolatile Processors," ASP-DAC 2017 (BEST PAPER AWARD). Yinan Sun, Zhe Yuan, Yongpan Liu, Xueqing Li, Yiqun Wang, Yu Wang, Vijaykrishnan Narayanan, and Huazhong Yang, "Maximum Energy Efficiency Tracking Circuits for Converter-less Energy Harvesting Sensor Nodes," in IEEE Transactions on Circuits and Systems II: Express Briefs, vol.64, no.6, pp.670-674, June 2017. Zhibo Wang, Fang Su, Yiqun Wang, Zewei Li, Xueqing Li, Ryuji Yoshimura, Takashi Naiki, Takashi Tsuwa, Takahiko Saito, Zhongjun Wang, Koji Taniuchi, Meng-Fan Chang, Huazhong Yang, Yongpan Liu, "A 130nm FeRAM-based parallel recovery nonvolatile SOC for normally-OFF operations with 3.9x faster running speed and 11x higher energy efficiency using fast power-on detection and nonvolatile radio controller," 2017 Symposium on VLSI Circuits, Kyoto, 2017, pp. C336-C337. Srivatsa Srinivasa, Ahmedullah Aziz, Nikhil Shukla, Xueqing Li, Jack Sampson, Suman Datta, Jaydeep P. Kulkarni, Vijaykrishnan Narayanan, and Sumeet Kumar Gupta, "Correlated Material Enhanced SRAMs with Robust Low Power Operation," in IEEE Transactions on Electron Devices, vol. 63, no. 12, pp. 4744-4752, Dec. 2016. . Xueqing Li, Kaisheng Ma, Sumitha George, John Sampson, and Vijaykrishnan Narayanan, "Enabling Internet-of-Things: Opportunities Brought by Emerging Devices, Circuits, and Architectures," VLSI-SOC 2016 . Matthew Jerry, Wei-yu Tsai, Baihua Xie, Xueqing Li, Vijay Narayanan, Arijit Raychowdhury, and Suman Datta, "Phase Transition Oxide Neuron for Spiking Neural Networks," in 74th Device Research Conference (DRC 2016) . Neel Gala, Sarada Krithivasan, Wei-Yu Tsai, Xueqing Li, Vijaykrishnan Narayanan, V. Kamakoti, "An Accuracy Tunable Non-Boolean Co-processor using Coupled Nano-oscillators," ACM Journal on Emerging Technologies in Computing Systems (JETC) - Regular Papers, vol. 14 no. 1, March 2018.[LinkToACM] Sumitha George , Ahmedullah Aziz, Xueqing Li, Moon Kim, Suman Datta, John Sampson, Sumeet Gupta, Vijaykrishnan Narayanan, "Device Circuit Co Design of FEFET Based Logic for Low Voltage Processors," ISVLSI 2016, . Moon Seok Kim, William Cane-Wissing, Xueqing Li, John Sampson, Suman Datta, Sumeet K. Gupta, Vijaykrishnan Narayanan, "Comparative Area and Parasitics Analysis in FinFET and Heterojunction Vertical TFET Standard Cells", JETC, 2016, . Sumitha George, Kaisheng Ma, Ahmedullah Aziz, Xueqing Li, John Sampson, Asif Khan, Sayeef Salahuddin, Meng-Fan Chang, Suman Datta, Sumeet Gupta, and Vijaykrishnan Narayanan, "Nonvolatile Memory Design Based on Ferroelectric FETs," DAC 2016, . Kaisheng Ma, Xueqing Li, Karthik Swaminathan, Yang Zhen, Shuangchen Li, Yongpan liu, Yuan Xie, John (Jack) Sampson, Vijaykrishnan Narayanan, "Nonvolatile processor architectures: Efficient, reliable progress with unstable power," IEEE Micro, May/June 2016 (IEEE Micro Top Pick Award), 2016, . Daming Zhang, Yongpan Liu, Jinyang Li, Chun Jason Xue, Xueqing Li, Yu Wang, and Huazhong Yang, "Solar Power Prediction Assisted Intra-Task Scheduling for Nonvolatile Sensor Nodes," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2016. Wei-Yu Tsai, Xueqing Li, Matt Jerry et al, “Enabling new computation paradigms with Hyper-FET - an emerging device,” IEEE Transactions onMulti-Scale Computing Systems (TMSCS), 2016 (Best Paper Award). Moon Seok Kim, Xueqing Li, Huichu Liu, John Sampson, Suman Datta, and Vijaykrishnan Narayanan, “Exploration of low-power high-SFDR current-steering D/A converter design using steep-slope Heterojunction Tunnel FETs,” IEEE Transactions on Very Large Scale Integration Systems (TVLSI), 2016. Kaisheng Ma, Xueqing Li, Yongpan Liu, Jack Sampson, Yuan Xie, Vijaykrishnan Naryayanan, “Dynamic machine learning based matching of nonvolatile processor microarchitecture to harvested energy profile,” in ICCAD 2015. Yongpan Liu, Hehe Li, Jason Xue, Xueqing Li, Kaisheng Ma, Ziwei Li, Yinan Sun, Daming Zhang, Yuan Xie, Huazhong Yang, “Self-powered wearable sensor node: challenges and opportunities,” in CASES 2015. Kaisheng Ma, Xueqing Li, John Sampson, Yuan Xie, Yongpan Liu, Vijaykrishnan Narayanan, “Nonvolatile Processor Optimization for Ambient Energy Harvesting Scenarios,” in The 15th Non-volatile Memory Technology Symposium (NVMTS 2015). Kaisheng Ma, Xueqing Li, Shuangchen Li, yongpan liu, Jack Sampson, Yuan Xie, Vijaykrishnan Narayanan, "Nonvolatile processor architecture exploration for energy harvesting applications", IEEE Micro, vol.35, no.5, pp.32-40, Sept.-Oct. 2015. Sumitha George, Xueqing Li, Jack Sampson, Suman Datta, Sumeet Gupta, and Vijaykrishnan Narayanan, “Device-circuit analysis of low power logic and memories based on ferroelectric FETs,” in SRC TECHCON 2015. Yongpan Liu, Zewei Li, Hehe Li, Yiqun Wang, Xueqing Li, Kaisheng Ma, Shuangchen Li, Meng-Fan Chang, Sampson John, Yuan Xie, Jiwu Shu, Huazhong Yang, “Ambient energy harvesting nonvolatile processors: from circuit to system,” in DAC 2015. Kaisheng Ma, Xueqing Li, et al, “Using Multiple-Input NEMS for parallel A/D conversion and image processing,” ISVLSI 2015. K. Ma, Y. Zheng, S. Li, K. Swaminathan, X. Li, Y. Liu, J. Sampson, Y. Xie, V. Narayanan, “Architecture Exploration for Ambient Energy Harvesting Nonvolatile Processors,” 21st IEEE Symp. on High Performance Computer Architecture (HPCA 2015, Best Paper Award). [[slides]] Jianan Liu, Xueqing Li, Qi Wei, Huazhong Yang, “A 14-Bit 1.0-GS/s Dynamic Element Matching DAC with >80 dB SFDR up to the Nyquist,” ISCAS 2015. Xueqing Li, Huichu Liu, Unsuk Dennis Heo, Kaisheng Ma, Suman Datta, and Vijaykrishnan Narayanan, “RF-powered systems using steep-slope devices,” NewCAS 2014. Xueqing Li, Wei-Yu Tsai, Huichu Liu, Suman Datta, Vijaykrishnan Narayanan, “A low-voltage low-power LC oscillator using the diode-connected SymFET,” ISVLSI 2014. Nikhil Shukla, Abhinav Parihar, Matthew Cotter, Michael Barth, Xueqing Li, Nandhini Chandramoorthy, Hanjong Paik, Darrell G. Schlom, Vijaykrishan Narayanan, Arijit Raychowdhury, and Suman Datta, “Pairwise coupled hybrid vanadium dioxide-MOSFET (HVFET) oscillators for non-boolean associative computing,” IEDM 2014.[[slides]] Huichu Liu, Xueqing Li, Ramesh Vaddi, Kaisheng Ma, Suman Datta, and Vijaykrishnan Narayanan, “Tunnel FET RF rectifier design for energy harvesting applications,” IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS) vol.4, no.4, pp.400,411, Dec. 2014. Karthik Swaminathan, Huichu Liu, Xueqing Li, Moon Seok Kim, Jack Sampson, and Vijaykrishnan Narayanan, “Steep slope devices: enabling new architectural paradigms,” DAC 2014. Moon Seok Kim, Huichu Liu, Xueqing Li, Suman Datta, Vijaykrishnan Narayanan, “A Steep-Slope Tunnel FET Based SAR Analog-to-Digital Converter,” IEEE Transactions on Electron and Devices, vol. 61, no. 11, November 2014. Huichu Liu, Mahsa Shoaran, Xueqing Li, Suman Datta, Alexandre Schmid and Vijaykrishnan Narayanan, “Tunnel FET based ultra-low-power, low-noise amplifier design for bio-signal acquisition,” ISLPED 2014. Nandhini Chandramoorthy, Karthik Swaminathan, Matthew Cotter, Xueqing Li, Indranil Palit, Kevin Irick, Sharon Hu, Michael Niemier and Vijaykrishnan Narayanan, “Understanding the landscape of accelerators for vision,” SIPS 2014. Lu Liu, Xueqing Li, Suman Datta, and Vijaykrishnan Narayanan, “A reconfigurable low-power BDD logic architecture using ferroelectric single-electron transistors,” IEEE Transactions on Electron and Devices, vol.62, no. 3, March 2015. Unsuk Heo, Xueqing Li, Huichu Liu, Sumeet Gupta, Suman Datta, and Vijaykrishnan Narayanan, “A high-efficiency switched-capacitance HTFET charge pump for low-input-voltage applications,” IEEE VLSI Design 2015. Xueqing Li, Qi Wei, Zhen Xu, Jianan Liu, Hui Wang, and Huazhong Yang, “A 14 bit 500 MS/s CMOS DAC using complementary current sources and time-relaxed interleaving DRRZ,” Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.61, no.8, pp.2337,2347, Aug. 2014. Wei-Yu Tsai, Huichu Liu, Xueqing Li, Vijaykrishnan Narayanan, “Low-power high-speed current mode logic using Tunnel-FET,” VLSI-SoC 2014. Kaisheng Ma, Huichu Liu, Yang Xiao, Yang Zheng, Xueqing Li, Sumeet Kumar Gupta, Yuan Xie, and Vijaykrishnan Narayanan, “Independently-controlled-gate FinFET 6T SRAM cell design for leakage current reduction and enhanced read access speed,” ISVLSI 2014. Moon Seok Kim, Huichu Liu, Karthik Swaminathan, Xueqing Li, Suman Datta, Vijaykrishnan Narayanan, “Enabling power-efficient designs with III-V Tunnel FETs,” CSICS 2014.[[slides]] Xueqing Li, Qi Wei, Fei Qiao, and Huazhong Yang, “Balanced switching schemes for gradient-error compensation in current-steering DACs,” IEICE Trans. Electron. Vol. E95-C, No. 11, pp.1790-1798, November 2012. Xueqing Li, Qi Wei, Zhen Xu, Jianan Liu, Hua Fan, and Huazhong Yang, “A 14-bit 250-MS/s current-steering CMOS digital-to-analog converter,” Journal of Semiconductor, Vol. 34, No. 8, Aug 2013. Zhen Xu, Xueqing Li, Jianan Liu, Qi Wei, Li Luo, and Huazhong Yang, “A 14-bit 500-MS/s DAC with digital background calibration,” Journal of Semiconductor, Vol. 35, No. 3, March 2014. Xueqing Li, Qi Wei, and Huazhong Yang, “Code-independent output impedance: A new approach to increasing the linearity of current-steering DACs,” IEEE Conf. on Electronic, Circuits, and Systems (ICECS), pp. 216-219, November 2011.

学术兼职

IEEE Member 国际会议TPC:PRIME 2017, PRIME 2018 国际会议分会主席:DAC2018, ISVLSI2018 SCI特约编辑:Hindawi Journal of VLSI Design 期刊审稿人,列表 IEEE Journal of Solid-State Circuits (JSSC) IEEE Transactions on Circuits and Systems I: Regular Papers (TCAS-I) IEEE Transactions on Circuits and Systems II: Express Briefs (TCAS-II) IEEE Transactions on Very Large Scale Integration Systems (TVLSI) IEEE Transactions on Circuits and Systems for Video Technology (TCSVT) IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD) IEEE Transactions on Nanotechnology (TNANO) IEEE Transaction

推荐链接
down
wechat
bug