当前位置: X-MOL首页全球导师 海外导师 › Ober, Christopher K.

研究领域

Research in the Ober Group at Cornell University focuses on creating new polymeric materials and refining their properties with a fundamental understanding of their physical behaviour. We work to apply these novel materials in areas ranging from lithography, to biointerfaces, to flexible electronics. We are a multidisciplinary group consisting of students enrolled in the Departments of Materials Science & Engineering, Chemistry & Chemical Biology, and Chemical and Biomolecular Engineering. Our tools include self-assembly and directed assembly, of which lithography is an important part.

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

ng, B; Ober, CK; Thompson, MO, “Controlled roughness reduction of patterned resist polymers using laser-induced sub-millisecond heating”, Journal of Materials Chemistry C, 2014, 2(43), 9115-9121 Elizabeth Welch, Thomas Doublet, Christophe Bernard, George Malliaras, and Christopher K. Ober, "Stable immobilization of an enzyme on an organic transistor via a polymer brush", J. Polym. Sci., Part A – Polym. Chem. (2015) 53(2), 372-377. erger, Florian; Kolb, Tristan; Neuber, Christian; Ober, Christopher K.; Schmidt, Hans-Werner, “Nanopatterning with tailored molecules”, Proceedings of SPIE (2014), 9051(Advances in Patterning Materials and Processes XXXI), 90510G/1-90510G/11. g, Jing; Chakrabarty, Souvik; Yu, Mufei; et al., “Metal Oxide Nanoparticle Photoresists for EUV Patterning”, Journal Of Photopolymer Science And Technology 27(5), 663-666 2014 g, Jing; Thompson, Michael O.; Ober, Christopher K., “Line width roughness reduction by rational design of photoacid generator for sub-millisecond laser post-exposure bake”, Advances in Patterning Materials and Processes XXXI, Proceedings of SPIE 9051, 90510H 2014 bs, Alan G.; Jung, Byungki; Ober, Christopher K.; et al. “Control of PS-b-PMMA directed self-assembly registration by laser induced millisecond thermal annealing”, Alternative Lithographic Technologies VI Book Series: Proceedings of SPIE 9049, 90492B 2014. rabarty, S., Sarma, C., Li, L., Giannelis, E. P., & Ober, C. K. (2014). “Increasing sensitivity of oxide nanoparticle photoresists”, (EUV) Lithography V Book Series: Proceedings of SPIE (Vol. 9048, p. 90481C–90481C–5). doi:10.1117/12.2046555. dmanabhan, P.; Chavis, M.; Ober, C. K.; Escobedo, F. A., “Phase behaviour of PMMA-b-PHEMA with solvents methanol and THF: modelling and comparison to the experiment”, Soft Matter (2014), 10(33), 6172-6181. amura, Haruyuki; Forman, Drew C.; Ober, Christopher K., “C60-containing polymers for electron beam lithography”, Polymer Bulletin (Heidelberg, Germany) (2014), 71(9), 2395-2405 M. Elizabeth Welch, Thomas Doublet, Christophe Bernard, George Malliaras, and Christopher K. Ober, "Stable immobilization of an enzyme on an organic transistor via a polymer brush", J. Polym. Sci., Chem., under revision. Jung, Byungki; Satish, Pratima; Bunck, David N.; Dichtel, William R.; Ober, Christopher K.; Thompson, Michael O., “Laser-Induced Sub-millisecond Heating Reveals Distinct Tertiary Ester Cleavage Reaction Pathways in a Photolithographic Resist Polymer”, ACS Nano (2014), Ahead of Print. Rahaman, Saifur; Thérien-Aubin, Héloïse; Ben-Sasson, Moshe; Ober, Christopher; Nielsen, Melissa; Elimelech, Menachem, “Control of biofouling on reverse osmosis polyamide membranes modified with biocidal nanoparticles and antifouling polymer brushes”, Journal of Materials Chemistry B: Materials for Biology and Medicine (2014), 2(12), 1724-1732. Ferrarese Lupi, F.; Giammaria, T. J.; Seguini, G.; et al., “Thermally induced orientational flipping of cylindrical phase diblock copolymers”, Journal Of Materials Chemistry C, 2(12), 2175-2182 (2014) M. Elizabeth Welch, Nicole L. Ritzert, Hongjun Chen, Norah L. Smith, Michele E. Tague, Youyong Xu, Barbara A. Baird, Héctor D. Abruña, and Christopher K. Ober, "A Generalized Platform for Antibody Detection using the Antibody Catalyzed Water Oxidation Pathway", J. Am. Chem. Soc., 2014, 136 (5), pp 1879–1883. Zhou, Zhaoli; Calabrese, David R.; Taylor, Warren; Finlay, John A.; Callow, Maureen E.; Callow, James A.; Fischer, Daniel; Kramer, Edward J.; Ober, Christopher K., “Amphiphilic triblock copolymers with PEGylated hydrocarbon structures as environmentally friendly marine antifouling and fouling-release coatings”, Biofouling (2014), 30(5), 589-604. Jiang, Jing; Jung, Byungki; Thompson, Michael O.; Ober, Christopher K., “Line edge roughness of high deprotection activation energy photoresist by using sub-millisecond post exposure bake”, Proceedings of SPIE (2013), 8682(Advances in Resist Materials and Processing Technology XXX), 86821N/1-86821N/7 Chakrabarty, Souvik; Ouyang, Christine; Krysak, Marie; Trikeriotis, Markos; Cho, Kyoungyong; Giannelis, Emmanuel P.; Ober, Christopher K., “Oxide nanoparticle EUV resists: toward understanding the mechanism of positive and negative tone patterning”, Proceedings of SPIE (2013), 8679(Pt. 1, Extreme Ultraviolet (EUV) Lithography IV), 867906/1-867906/8. Ouyang, Christine Y.; Chung, Yeon Sook; Li, Li; Neisser, Mark; Cho, Kyoungyong; Giannelis, Emmanuel P.; Ober, Christopher K., “Non-aqueous negative-tone development of inorganic metal oxide nanoparticle photoresists for next generation lithography”, Proceedings of SPIE (2013), 8682(Advances in Resist Materials and Processing Technology XXX), 86820R/1-86820R/6. Kryask, Marie; Trikeriotis, Markos; Ouyang, Christine; Chakrabarty, Souvik; Giannelis, Emmanuel P.; Ober, Christopher K., Journal of Photopolymer Science and Technology (2013), 26(5), 659-664. Lin Chen, Héloïse Thérien-Aubin, Mavis C.Y. Wong, Eric M.V. Hoek, Christopher K. Ober, "Improved Antifouling Properties of Polymer Membranes Using 'Layer-by-layer' Mediated Method", Journal of Materials Chemistry B: Materials for Biology and Medicine (2013), 1(41), 5651-5658.

推荐链接
down
wechat
bug