当前位置: X-MOL首页全球导师 国内导师 › 汪玉

个人简介

汪玉,清华大学电子工程系长聘教授、系主任,清华大学信息科学技术学院副院长,清华大学天津电子信息研究院院长。长期从事智能芯片、高能效电路与系统研究,ACM SIGDA执行委员会成员, ACM FPGA技术委员会亚太地区唯一成员。共发表论文310余篇,IEEE/ACM 杂志文章50余篇,谷歌学术引用15000余次。先后获得中国计算机学会青竹奖、德国洪堡奖学金(Alexander von Humboldt Fellowship),国际设计自动化会议40岁以下杰出创新奖 (DAC 2018 Under 40 Innovators Award)、中关村高聚工程高端领军人才奖,CCF科学技术奖技术发明一等奖等荣誉。曾获得 ASP-DAC 19、FPGA17、NVMSA 17、ISVLSI 12 最佳论文奖,以及12次国际会议最佳论文奖提名。 教育经历 2002.9-2007.7 清华大学 电子工程系(电子科学与技术专业) 博士 1998.9-2002.7 清华大学 电子工程系(无线电技术与信息系统专业) 学士 工作经历 2007.8-至今 清华大学 电子工程系 教授 2019.8 - 2020.1 斯坦福大学/访问学者 访问学者 2008.10 - 2009.1 香港科技大学/访问学者 访问学者

研究领域

高效鲁棒的深度学习 高能效定制应用域系统与架构 多智能体强化学习算法 协同多机器人应用系统

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

2023 Journal Articles Zhenhua Zhu, Hanbo Sun, Tongxin Xie, Yu Zhu, Guohao Dai, Lixue Xia, Dimin Niu, Xiaoming Chen, X. Sharon Hu, Yu Cao, Yuan Xie, Huazhong Yang, Yu Wang, “MNSIM 2.0: A Behavior-Level Modeling Tool forProcessing-In-Memory Architectures” , to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), IEEE, 2023 SysArch Xuefei Ning, Yin Zheng, Zixuan Zhou, Tianchen Zhao, Huazhong Yang, Yu Wang, “A Generic Graph-based Neural Architecture Encoding Scheme with Multifaceted Information” , in Transactions on Pattern Analysis and Machine Intelligence (TPAMI), 2023 Eff-Alg Conference Papers Junbo Zhao*, Xuefei Ning*, Enshu Liu, Binxin Ru, Zixuan Zhou, Tianchen Zhao, Chen Chen, Jiajin Zhang, Qingmin Liao, Yu Wang, “Dynamic Ensemble of Low-fidelity Experts: Mitigating NAS "Cold-Start"” , in AAAI Conference on Artificial Intelligence (AAAI) Oral, 2023 [PDF] Eff-Alg Yi Cai, Xuefei Ning, Huazhong Yang, Yu Wang, “Ensemble-in-One: Ensemble Learning within Random Gated Networks for Enhanced Adversarial Robustness” , to appear in AAAI Conference on Artificial Intelligence (AAAI), 2023 Eff-AlgAI-Sec Xiangsheng Shi*, Xuefei Ning*, Lidong Guo*, Tianchen Zhao, Enshu Liu, Yi Cai, Yuhan Dong, Huazhong Yang, Yu Wang, “Memory-Oriented Structural Pruning for Efficient Image Restoration” , to appear in AAAI Conference on Artificial Intelligence (AAAI), 2023 [SLIDE] [PDF] Eff-Alg Xinyi Yang, Shiyu Huang, Yiwen Sun, Yuxiang Yang, Chao Yu, Wei-Wei Tu, Huazhong Yang, Yu Wang, “Learning Graph-Enhanced Commander-Executor for Multi-Agent Navigation” , in International Conference on Autonomous Agents and Multiagent Systems (AAMAS), 2023 MA-Alg Zelai Xu, Yancheng Liang, Chao Yu, Yu Wang and Yi Wu, “Fictitious Cross-Play: Learning Global Nash Equilibrium in Mixed Cooperative-Competitive Games” , to appear in International Conference on Autonomous Agents and Multiagent Systems (AAMAS), 2023 [PDF] MA-Alg Chao Yu*, Xinyi Yang*, Jiaxuan Gao*, Jiayu Chen, Yunfei Li, Jijia Liu, Yunfei Xiang, Ruixin Huang, Huazhong Yang, Yi Wu, Yu Wang, “Asynchronous Multi-Agent Reinforcement Learning for Efficient Real-Time Multi-Robot Cooperative Exploration” , in International Conference on Autonomous Agents and Multiagent Systems (AAMAS), 2023 MA-Alg Wentao Hou*, Kai Zhong*, Shulin Zeng, Guohao Dai, Huazhong Yang, Yu Wang, “NTGAT: A Graph Attention Network Accelerator with Runtime Node Tailoring” , to appear in Asia and South Pacific Design Automation Conference (ASP-DAC), 2023 [PDF] SysArch Qiuyi Gu, Jincheng Yu, Zihan Lin, Jinggao Bai, Bangyan Zhang, Yuan Shen, Jian Wang, Yu Wang, “MD-RadioMap: Multi-Drone Radio Map Building via Single-Anchor Ultra-Wideband Localization Network” , to appear in IEEE International Conference on Automation Science and Engineering (CASE), 6, IEEE, 2023 MA-Sys Xinhao Yang, Tianyu Fu, Guohao Dai, Shulin Zeng, Kai Zhong, Ke Hong and Yu Wang, “An Efficient Accelerator for Point-based and Voxel-based Point Cloud Neural Networks” , to appear in Design Automation Conference (DAC), IEEE/ACM, 2023 SysArch Yu Zhu, Zhenhua Zhu, Guohao Dai, Fengbin Tu, Hanbo Sun, Tim Cheng, Huazhong Yang and Yu Wang, “PIM-Gen: An Automatic Hardware Generation Tool for Heterogeneous Processing-in-Memory-based Neural Network Accelerators” , to appear in Design Automation Conference (DAC), 2023 SysArch Shiyao Li, Zhenhua Zhu, Yu Zhu, Qingpeng Zhu, Jiangwei Zhang, Wenxiu Sun, Guohao Dai, Fei Qiao, Huazhong Yang and Yu Wang, “Memory-Efficient and Real-Time SPAD-based dToF Imaging with Spatial and Statistical Correlation” , to appear in Design Automation Conference (DAC), IEEE/ACM, 2023 SysArch Zhenhua Zhu*, Jun Liu*, Guohao Dai, Shulin Zeng, Bing Li, Huazhong Yang and Yu Wang, “Processing-In-Hierarchical-Memory Architecture for Billion-Scale Approximate Nearest Neighbor Search” , to appear in Design Automation Conference (DAC), IEEE/ACM, 2023 SysArch Tianyu Fu*, Chiyue Wei*, Zhenhua Zhu, Shang Yang, Zhongming Yu, Guohao Dai, Huazhong Yang, and Yu Wang , “CLAP: Locality Aware and Parallel Triangle Counting with Content Addressable Memory” , to appear in Design, Automation and Test in Europe Conference, IEEE, 2023 [SLIDE] [PDF] SysArch Hanbo Sun, Tongxin Xie, Zhenhua Zhu, Guohao Dai, Huazhong Yang and Yu Wang, “Minimizing Communication Conflicts in Network-On-Chip based Processing-In-Memory Architecture” , in Design, Automation and Test in Europe Conference, IEEE, 2023 [PDF] SysArch Jiangwei Zhang, Chong Wang, Zhenhua Zhu, Donald Kline Jr, Alex K. Jones, Huazhong Yang, Yu Wang, “Realizing Extreme Endurance Through Fault-aware Wear Leveling and Improved Tolerance” , in the 29th IEEE International Symposium on High-Performance Computer Architecture (HPCA-29), Montreal, QC, Canada. , 2023 [PDF] SysArch Yaoxiu Lian*, Xinhao Yang*, Ke Hong, Yu Wang, Guohao Dai and Ningyi Xu, “A Point Transformer Accelerator with Fine-Grained Pipelines and Distribution-Aware Dynamic FPS” , to appear in International Conference on Computer-Aided Design (ICCAD), IEEE/ACM, 2023 SysArch Tianchen Zhao, Xuefei Ning, Ke Hong, Zhongyuan Qiu, Pu Lu, Linfeng Zhang, Yali Zhao, Lipu Zhou, Guohao Dai, Huazhong Yang and Yu Wang, “Ada3D : Exploiting the Spatial Redundancy with Adaptive Inference for Efficient 3D Object Detection” , to appear in International Conference of Computer Vision (ICCV), IEEE/CVF, 2023 [SLIDE] [PDF] Eff-Alg Enshu Liu*, Xuefei Ning*, Zinan Lin*, Huazhong Yang, Yu Wang, “OMS-DPM: Deciding The Optimal Model Schedule for Diffusion Probabilistic Model” , to appear in International Conference on Machine Learning (ICML), 2023 [PDF] Eff-Alg Haotian Tang*, Shang Yang*, Zhijian Liu, Ke Hong, Zhongming Yu, Xiuyu Li, Guohao Dai, Yu Wang, Song Han, “PointSparse: A Unified Framework for Efficient Inference and Training for Sparse Point Cloud on GPUs” , to appear in 56th IEEE/ACM International Symposium on Microarchitecture, 2023 SysArch Ke Hong*, Zhongming Yu*, Guohao Dai, Xinhao Yang, Yaoxiu Lian, Zehao Liu, Ningyi Xu, Yuhan Dong, Yu Wang, “Exploiting Hardware Utilization and Adaptive Dataflow for Sparse Convolution in 3D Point Clouds” , in Sixth Conference on Machine Learning and Systems, 2023 [SLIDE] [PDF] SysArch Preprint Articles Xuefei Ning*, Zinan Lin*, Zixuan Zhou*, Huazhong Yang, Yu Wang, “Skeleton-of-Thought: Large Language Models Can Do Parallel Decoding” , in https://arxiv.org/abs/2307.15337, 2023 [PDF] Eff-Alg 2022 Journal Articles Mingyuan Ma*, Yu Zhu*, Zhenhua Zhu, Rui Yuan, Jialong Liu, Liying Xu, Yuchao Yang, and Yu Wang, “Efficient In-Memory AES Encryption Implementation Using a General Memristive Logic: Surmounting the data movement bottleneck” , in IEEE Nanotechnology Magazine, 24 - C3, 16,2, IEEE, 2022 [PDF] SysArch Zihan Lin, Jincheng Yu, Lipu Zhou, Xudong Zhang, Jian Wang, Yu Wang, “Point Cloud Change Detection With Stereo V-SLAM: Dataset, Metrics and Baseline” , in IEEE Robotics and Automation Letters, 12443 - 12450, 7,4, IEEE, 2022 [PDF] MA-Sys Yuanfan Xu, Zhaoliang Zhang, Jincheng Yu, Yuan Shen, Yu Wang, “A Framework to Co-Optimize Robot Exploration and Task Planning in Unknown Environments” , to appear in IEEE Robotics and Automation Letters, IEEE, 2022 [PDF] MA-Sys Haolin Dong, Jincheng Yu, Yuanfan Xu, Zhilin Xu, Zhaoyang Shen, Jiahao Tang, Yuan Shen, and Yu Wang, “MR-GMMapping: Communication Efficient Multi-Robot Mapping System via Gaussian Mixture Model” , in IEEE Robotics and Automation Letters, IEEE, 2022 [PDF] MA-Sys Zhaoliang Zhang, Jincheng Yu, Jiahao Tang, Yuanfan Xu, Yu Wang, “MR-TopoMap: Multi-Robot Exploration Based on Topological Map in Communication Restricted Environment” , 10794-10801, 7,4, IEEE Robotics and Automation Letters, 2022 [PDF] MA-Sys Shulin Zeng, Guohao Dai, Niansong Zhang, Xinhao Yang, Haoyu Zhang, Zhenhua Zhu, Huazhong Yang, Yu Wang, “Serving Multi-DNN Workloads on FPGAs: a Coordinated Architecture, Scheduling, and Mapping Perspective” , to appear in Transactions on Computer, IEEE, 2022 [PDF] SysArch Jingbo Hu, Guohao Dai, Liuzheng Wang, Liyang Lai, Yu Huang, Huazhong Yang and Yu Wang, “Adaptive Multi-Dimensional Parallel Fault Simulation Framework on Heterogeneous System” , to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), IEEE, 2022 SysArch Kai Zhong, Xuefei Ning, Guohao Dai, Zhenhua Zhu, Tianchen Zhao, Shulin Zeng, Yu Wang, Huazhong Yang, “Exploring the Potential of Low-bit Training of Convolutional Neural Networks” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), early access, IEEE, 2022 [PDF] SysArchEff-Alg Jincheng Yu, Zhilin Xu, Shulin Zeng, Chao Yu, Jiantao Qiu, Chaoyang Shen, Yuanfan Xu, Guohao Dai, Yu Wang, and Huazhong Yang, “INCAME: Interruptible CNN Accelerator for Multirobot Exploration” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 964-978, 41,4, IEEE, 2022 [PDF] SysArchMA-Sys Zhen Gao, Han Zhang, Yi Yao, Jiajun Xiao, Shulin Zeng, Guangjun Ge, Yu Wang, Anees Ullah, Pedro Reviriego, “Soft Error Tolerant Convolutional Neural Networks on FPGAs With Ensemble Learning” , in IEEE Transactions on Very Large Scale Integration (VLSI) Systems, IEEE, 2022 [PDF] SysArchEff-Alg Zhen Gao, Yi Yao, Xiaohui Wei, Tong Yan, Shulin Zeng, Guangjun Ge, Yu Wang, Anees Ullah, Pedro Reviriego, “Reliability evaluation of FPGA based pruned neural networks” , in Microelectronics Reliability, 0026-2714, 114498,130, Pergamon, 2022 [PDF] SysArchEff-Alg Conference Papers Bangyan Wang, Lei Deng, Fei Sun, Guohao Dai, Liu Liu, Yu Wang, and Yuan Xie, “A One-for-all and O(vlog(v))-cost Solution for Parallel Merge Style Operations on Sorted Key-Value Arrays” , in ACM International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), 669-682, 2022 [PDF] SysArch Shiyu Huang, Chao Yu, Bin Wang, Dong Li, Yu Wang, Ting Chen and Jun Zhu, “VMAPD: Generate Diverse Solutions for Multi-Agent Games with Recurrent Trajectory Discriminators” , in IEEE Conference on Games, IEEE, 2022 [PDF] MA-Alg Tianchen Zhao, Niansong Zhang, Xuefei Ning, He Wang, Li Yi, Yu Wang, “CodedVTR: Codebook-based Sparse Voxel Transformer with Geometric Guidance” , in Computer Vision and Pattern Recognition (CVPR), 2022 [SLIDE] [PDF] Eff-Alg Minxue Tang, Xuefei Ning, Yitu Wang, Jingwei Sun, Yiran Chen, Yu Wang, Hai Li, “FedCor: Correlation-Based Active Client Selection Strategy for Heterogeneous Federated Learning” , in Computer Vision and Pattern Recognition (CVPR), 2022 [SLIDE] [PDF] Eff-Alg Guohao Dai, Guyue Huang, Shang Yang, Zhongming Yu, Hengrui Zhang, Yufei Ding, Yuan Xie, Huazhong Yang, and Yu Wang, “Heuristic Adaptability to Input Dynamics for SpMM on GPUs” , to appear in Design Automation Conference (DAC), 2022 [PDF] SysArch Yu Zhu*, Zhenhua Zhu*, Guohao Dai, Kai Zhong, Huazhong Yang, Yu Wang, “Exploiting Parallelism with Vertex-Clustering in Processing-In-Memory-based GCN Accelerators” , in Design, Automation and Test in Europe Conference, 2022 [SLIDE] [PDF] SysArch Hanbo Sun*, Chenyu Wang*, Zhenhua Zhu, Xuefei Ning, Guohao Dai, Huazhong Yang, Yu Wang, “Gibbon: Efficient Co-Exploration of NN Model and Processing-In-Memory Architecture” , in Design, Automation and Test in Europe Conference, 2022 [SLIDE] [PDF] SysArchEDAEff-Alg Zixuan Zhou*, Xuefei Ning*, Yi Cai, Jiashu Han, Yiping Deng, Yuhan Dong, Huazhong Yang, Yu Wang, “CLOSE: Curriculum Learning On the Sharing Extent Towards Better One-shot NAS” , in European Conference on Computer Vision (ECCV), 2022 [SLIDE] [PDF] Eff-Alg Chao Yu*, Xinyi Yang*, Jiaxuan Gao*, Huazhong Yang, Yu Wang, Yi Wu, “Learning Efficient Multi-Agent Cooperative Visual Exploration” , in European Conference on Computer Vision (ECCV), 2022 [SLIDE] [PDF] MA-Alg Xinyi Yang*, Chao Yu*, Jiaxuan Gao*, Yu Wang and Huazhong Yang, “SAVE: SPATIAL-ATTENTION VISUAL EXPLORATION” , in IEEE International Conference on Image Processing (ICIP), 2022 [PDF] MA-Alg Hongyu Song+, Jincheng Yu+, Jiantao Qiu, Zhixiao Sun, Kuijun Lang, Qing Luo, Yuan Shen and Yu Wang, “Multi-UAV Disaster Environment Coverage Planning with Limited-Endurance” , in International Conference on Robotics and Automation (ICRA), pp. 10760-10766, IEEE, 2022 [PDF] MA-Sys Yuanfan Xu, Jincheng Yu, Jiahao Tang, Jiantao Qiu, Jian Wang, Yuan Shen, Yu Wang and Huazhong Yang , “Explore-Bench: Data Sets, Metrics and Evaluations for Frontier-based and Deep-reinforcement-learning-based Autonomous Exploration ” , in IEEE International Conference on Robotics and Automation (ICRA), IEEE, 2022 [PDF] MA-Sys Guohao Dai*, Zhenhua Zhu*, Tianyu Fu, Chiyue Wei, Banyan Wang, Xiangyu Li, Yuan Xie, Huazhong Yang, Yu Wang, “DIMMining: Pruning-Efficient and Parallel Graph Mining on DIMM-based Near-Memory-Computing” , in The International Symposium on Computer Architecture (ISCA), 2022, ACM/IEEE, 2022 [PDF] SysArch Yu Wang, Shulin Zeng, Kaiyuan Guo, Xuefei Ning, Yali Zhao, Zhongyuan Qiu, Changcheng Tang, Shuang Liang, Huazhong Yang, “Efficient Autonomous Driving System Design: From Software to Hardware” , in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2022 [SLIDE] [PDF] SysArchEff-Alg Jiangwei Zhang, Chong Wang, Yi Cai, Zhenhua Zhu, Donald Kline, Jr, Huazhong Yang, Yu Wang, “WESCO: Weight-Encoded Reliability and Security Co-Design for In-Memory Computing Systems” , ISVLSI, 2022 [SLIDE] [PDF] SysArchEDA Jun Liu, Zhenhua Zhu, Jingbo Hu, Hanbo Sun, Li Liu, Lingzhi Liu, Guohao Dai, Huazhong Yang, Yu Wang, “Optimizing Graph-based Approximate Nearest Neighbor Search: Stronger and Smarter” , in International Conference on Mobile Data Management, 2022 [PDF] SysArch Hengrui Zhang* Zhongming Yu*, Guohao Dai, Guyue Huang, Yufei Ding, Yuan Xie, Yu Wang, “Understanding GNN Computational Graph: A Coordinated Computation, IO, and Memory Perspective” , to appear in Fifth Conference on Machine Learning and Systems, 2022 [PDF] SysArch Xuefei Ning*, Zixuan Zhou*, Junbo Zhao, Tianchen Zhao, Yiping Deng, Changcheng Tang, Shuang Liang, Huazhong Yang, Yu Wang, “TA-GATES: An Encoding Scheme for Neural Network Architectures” , in Neural Information Processing Systems (NeurIPS) Spotlight, 2022 [SLIDE] [PDF] Eff-Alg Chao Yu*, Akash Velu*, Eugene Vinitsky, Jiaxuan Gao, Yu Wang, Alexandre Bayen, Yi Wu, “The Surprising Effectiveness of PPO in Cooperative Multi-Agent Games” , in NeurIPS 2022 Datasets and Benchmarks Track, 2022 [PDF] MA-Alg Xuan Du*, Xinyi Yang*, Chao Yu* , Jiaxuan Gao, Qingmin Liao, Huazhong Yang and Yu Wang, “A Benchmark of Planning-based Exploration Methods in Photo-Realistic 3D Simulator” , in IEEE International Conference on Robotics and Biomimetics, 6, 2022 [PDF] MA-Alg Yunfei Xiang*, Jiantao Qiu*, Jincheng Yu, Jiahao Tang, Guangjun Ge, Yu Wang and Huazhong Yang, “A Mobile Robot Experiment System with Lightweight Simulator Generator for Deep Reinforcement Learning Algorithm” , to appear in IEEE International Conference on Robotics and Biomimetics, 2022 [PDF] MA-Sys Yichun Wu*, Qiuyi Gu*, Jincheng Yu, Jian Wang, Qingmin Liao, Chun Zhang, Yu Wang, “MR-GMMExplore: Multi-Robot Exploration System in Unknown Environments based on Gaussian Mixture Model” , to appear in IEEE International Conference on Robotics and Biomimetics, 6, 2022 [PDF] MA-Sys Preprint Articles Ye Mu, Weilin Liu, Chao Yu, Xuefei Ning, Zhong Cao, Zelai Xu, Yi Wu, Shuang Liang, Qingmin Liao, Huazhong Yang, Yu Wang, “Multi-Agent Vulnerability Discovery for Autonomous Driving Policy by Finding AV-Responsible Scenarios” , in https://arxiv.org/abs/2112.06185, 2022 [PDF] MA-Alg Guohao Dai, Guyue Huang, Shang Yang, Zhongming Yu, Hengrui Zhang, Yufei Ding, Yuan Xie, Huazhong Yang, Yu Wang, “Heuristic Adaptability to Input Dynamics for SpMM on GPUs” , in https://arxiv.org/abs/2202.08556, 2022 [PDF] SysArch 2021 Journal Articles Shulin Zeng, Guohao Dai, Hanbo Sun, Jun Liu, Shiyao Li, Guangjun Ge, Kai Zhong, Kaiyuan Guo, Yu Wang, Huazhong Yang, “A Unified FPGA Virtualization Framework for General-Purpose Deep Neural Networks in the Cloud” , in ACM Transactions on Reconfigurable Technology and Systems, 1-31, 15,3, ACM, 2021 [PDF] SysArch Jiantao Qiu, Chao Yu, Weiling Liu, Tianxiang Yang, Jincheng Yu, Yu Wang And Huazhong Yang, “Low-cost Multi-Agent Navigation via Reinforcement Learning with Multi-Fidelity Simulator” , in IEEE Access, IEEE Access, 2021 [PDF] MA-SysMA-Alg Guodong Yin, Yi Cai, Juejian Wu, Zhengyang Duan, Zhenhua Zhu, Yongpan Liu, Yu Wang, Huazhong Yang, Xueqing Li, “Enabling lower-power charge-domain nonvolatile in-memory computing with ferroelectric FETs” , in IEEE Transactions on Circuits and Systems II: Express Briefs, 2262-2266, 68,7, IEEE, 2021 SysArch Xuefei Ning, Guangjun Ge, Wenshuo Li, Zhenhua Zhu, Yin Zheng, Xiaoming Chen, Zhen Gao, Yu Wang, Huazhong Yang, “FTT-NAS: Discovering Fault-Tolerant Neural Architecture” , in TODAES, ACM, 2021 [PDF] Eff-AlgAI-Sec Guyue Huang*, Jingbo Hu*, Yifan He*, Jialong Liu*, Mingyuan Ma*, Chaoyang Shen*, Juejian Wu*, Yuanfan Xu*, Hengrui Zhang*, Kai Zhong*, Xuefei Ning, Yuzhe Ma, Haoyu Yang, Bei Yu, Huazhong Yang, and Yu Wang, “Machine Learning for Electronic Design Automation: A Survey” , in TODAES, ACM, 2021 [SLIDE] [PDF] EDA Conference Papers Guyue Huang, Guohao Dai, Yu Wang, Yufei Ding and Yuan Xie, “Efficient Sparse Matrix Kernels based on Adaptive Workload-Balancing and Parallel-Reduction” , in ACM Student Research Competition 2020-2021, 2021 [PDF] SysArch Zhen Gao, Han Zhang, Xiaohui Wei, Jiajun Xiao, Shulin Zeng, Guangjun Ge, Yu Wang, Pedro Reviriego, “Ensemble of Pruned Networks for Reliable Classifiers” , in IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS), IEEE, 2021 SysArchEff-Alg Kaizhong Qiu, Zhenhua Zhu, Yi Cai, Hanbo Sun, Yu Wang, Huazhong Yang, “MNSIM-TIME: Performance Modeling Framework for Training-In-Memory Architectures” , in 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS), 2021 [PDF] SysArch Shuang Liang, Xuefei Ning, Jincheng Yu, Kaiyuan Guo, Tianyi Lu, Changcheng Tang, Shulin Zeng, Yu Wang, Diange Yang, Huazhong Yang, “Efficient Computing Platform Design for Autonomous Driving Systems” , in Proceedings of the 26th Asia and South Pacific Design Automation Conference, IEEE, 2021 [PDF] SysArchEff-Alg Hanbo Sun*, Zhenhua Zhu*, Yi Cai*, Shulin Zeng, Kaizhong Qiu, Yu Wang, Huazhong Yang, “Reliability-Aware Training and Performance Modeling for Processing-In-Memory Systems” , in The 26th Asia and South Pacific Design Automation Conference(ASP-DAC 2021), IEEE, 2021 [SLIDE] [PDF] SysArchEff-Alg Tong Wu, Ziwei Liu, Qingqiu Huang, Yu Wang, Dahua Lin, “Adversarial Robustness under Long-Tailed Distribution” , in Conference on Computer Vision and Pattern Recognition, 2021 [PDF] AI-Sec Yuanfan Xu, Zhaoliang Zhang, Jincheng Yu, Jianfei Cao, Haolin Dong, Zhengfeng Huang, Yu Wang, Huazhong Yang, “GAME: Gaussian Mixture Model Mapping and Navigation Engine on Embedded FPGA” , to appear in International Symposium on Field-Programmable Custom Computing Machines (FCCM), 2021 [PDF] MA-Sys Yitu Wang, Zhenhua Zhu, Mingyuan Ma, Fan Chen, Guohao Dai, Yu Wang, Hai “Helen” Li, Yiran Chen, “ReRec: In-ReRAM Acceleration with Access-Aware Mapping for Personalized Recommendation” , in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 1-9, 2021 [PDF] SysArch Zhongming Yu, Guohao Dai, Guyue Huang, Yu Wang, Huazhong Yang, “Exploiting Online Locality and Reduction Parallelism for Sampled Dense Matrix Multiplication on GPUs” , in International Conference on Computer Design (ICCD), 567-574, 2021 [PDF] SysArch Cheng Yu, Youze Xue, Jiansheng Chen, Yu Wang, Huimin Ma, “Enhancing Adversarial Robustness for Image Classification by Regularizing Class Level Feature Distribution” , in ICIP, 2021 AI-Sec Zhenggang Tang*, Chao Yu(*equal contribution), Boyuan Chen, Huazhe Xu, XiaolongWang, Fei Fang, Simon Du, Yu Wang, Yi Wu, “Discovering Diverse Multi-agent Strategic Behavior Via Reward Randomization” , in International Conference on Learning Representations (ICLR), 2021 [PDF] MA-Alg Jincheng Yu+, Jianming Tong+, Yuanfan Xu, Zhilin Xu, Haolin Dong, Tianxiang Yang and Yu Wang, “SMMR-explore: Submap-based multi-robot exploration system with multi-robot multi-target potential field exploration method” , to appear in IEEE International Conference on Robotics and Automation (ICRA), IEEE, 2021 [SLIDE] [PDF] OT Shulin Zeng, Guohao Dai, Niansong Zhang, Yu Wang, “Enabling Fast Deployment and Efficient Scheduling for Multi-Node and Multi-Tenant DNN Accelerators in the Cloud” , in Architecture, Compiler, and System Support for Multi-model DNN Workloads Workshop at MICRO 2021, 2021 SysArch Jiayu Chen, Yuanxin Zhang, Yuanfan Xu, Huimin Ma, Huazhong Yang, Jiaming Song, Yu Wang, Yi Wu, “Variational Automatic Curriculum Learning for Sparse-Reward Cooperative Multi-Agent Problems” , in NeurIPS, 2021 [PDF] MA-Alg Xuefei Ning, Changcheng Tang, Wenshuo Li, Zixuan Zhou, Shuang Liang, Huazhong Yang, Yu Wang, “Evaluating Efficient Performance Estimators of Neural Architectures” , in Neural Information Processing Systems (NeurIPS), 2021 [SLIDE] [PDF] Eff-Alg Preprint Articles Xuefei Ning*, Junbo Zhao*, Wenshuo Li, Tianchen Zhao, Yin Zheng, Huazhong Yang, Yu Wang, “Discovering Robust Convolutional Architecture at Targeted Complexity: A Multi-Shot Approach” , in https://arxiv.org/abs/2012.11835, 2021 [PDF] AI-Sec Yukuo Cen, Zhenyu Hou, Yan Wang, Qibin Chen, Yizhen Luo, Xingcheng Yao, Aohan Zeng, Shiguang Guo, Peng Zhang, Guohao Dai, Yu Wang, Chang Zhou, Hongxia Yang, Jie Tang, “CogDL: An Extensive Toolkit for Deep Learning on Graphs” , in https://arxiv.org/abs/2103.00959, 2021 Other Hengrui Zhang*, Zhongming Yu*, Guohao Dai, Guyue Huang, Yufei Ding, Yuan Xie, Yu Wang , “Understanding GNN Computational Graph: A Coordinated Computation, IO, and Memory Perspective” , in https://arxiv.org/abs/2110.09524, 2021 [PDF] SysArch Books Yu Wang, Xuefei Ning, Shulin Zeng, Yi Cai, Kaiyuan Guo, Hanbo Sun, Changcheng Tang, Tianyi Lu, Shuang Liang, Tianchen Zhao, “Hardware Design and Software Practices for Efficient Neural Network Inference” , in Low-Power Computer Vision: Improve the Efficiency of Artificial Intelligence, 35-64, CRC Press, 2021 SysArchEff-Alg 2020 Journal Articles Yi Cai, Xiaoming Chen, Lu Tian, Yu Wang, Huazhong Yang, “Enabling Secure NVM-based in-Memory Neural Network Computing by Sparse Fast Gradient Encryption” , in Transactions on Computers, 2020 [PDF] EM.NN Yi Cai, Yujun Lin, Lixue Xia, Xiaoming Chen, Song Han, Yu Wang, Huazhong Yang, “Long Live TIME: Improving Lifetime and Security for NVM-based Training-In-Memory Systems” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 4707 - 4720, 39,12, 2020 [PDF] Eff-AlgAI-Sec Xuefei Ning, Yin Zheng, Zhuxi Jiang, Yu Wang, Huazhong Yang, Junzhou Huang, Peilin Zhao, “Nonparametric Topic Modeling with Neural Inference” , in Journal of Neurocomputing, 296-306, 399, Elsevier, 2020 [SLIDE] [PDF] Other Rui Yuan, Mingyuan Ma, Liying Xu, Zhenhua Zhu, Qingxi Duan, Teng Zhang, Yu Zhu, Yu Wang, Ru Huang, Yuchao Yang, “Efficient 16 Boolean logic and arithmetic based on bipolar oxide memristors” , in Science China Information Sciences, 1-8, 63, Science China Press, 2020 SysArch Conference Papers Ranran Huang, Hanbo Sun, Ji Liu, Lu Tian, Li Wang, Yi Shan, Yu Wang, “Feature Variance Regularization: A Simple Way to Improve the Generalizability of Neural Networks” , in AAAI Conference on Artificial Intelligence (AAAI) Oral, 2020 [PDF] Eff-Alg Wenshuo Li, Guangjun Ge, Kaiyuan Guo, Xiaoming Chen, Qi Wei, Zhen Gao, Yu Wang, Huazhong Yang, “Soft Error Mitigation for Deep Convolution Neural Network on FPGA Accelerators” , to appear in 2nd IEEE International Conference on Artificial Intelligence Circuits And Systems, 2020 [PDF] Eff-AlgAI-Sec Shulin Zeng, Hanbo Sun, Yu Xing, Xuefei Ning, Yi Shan, Xiaoming Chen, Yu Wang, Huazhong Yang, “Black Box Search Space Profiling for Accelerator-Aware Neural Architecture Search” , in The 25th Asia and South Pacific Design Automation Conference (ASP-DAC 2020), IEEE, 2020 [SLIDE] [PDF] SysArchEff-Alg Wenshuo Li*, Xuefei Ning*, Guangjun Ge, Xiaoming Chen, Yu Wang, Huazhong Yang, “FTT-NAS: Discovering Fault-Tolerant Neural Architecture” , in The 25th Asia and South Pacific Design Automation Conference (ASP-DAC 2020), 2020 [PDF] AI-Sec Hanbo Sun, Zhenhua Zhu, Yi Cai, Xiaoming Chen, Yu Wang, Huazhong Yang, “An Energy-Efficient Quantized and Regularized Training Framework For Processing-In-Memory Accelerators” , in The 25th Asia and South Pacific Design Automation Conference(ASP-DAC 2020), 2020 [PDF] SysArch Feng Gao, Jincheng Yu, Hao Shen, Yu Wang, Huazhong Yang, “Attentional Separation-and-Aggregation Network for Self-supervised Depth-Pose Learning in Dynamic Scenes” , 2020 [PDF] MA-Sys Jincheng Yu, Zhilin Xu, Shulin Zeng, Chao Yu, Jiantao Qiu, Chaoyang Shen, Yuanfan Xu, Guohao Dai, Yu Wang and Huazhong Yang, “INCA: INterruptible CNN Accelerator for Multi-tasking in Embedded Robots ” , in Design Automation Conference (DAC), IEEE, 2020 [SLIDE] [PDF] SysArchMA-Sys Minhui Zou, Zhenhua Zhu, Yi Cai, Junlong Zhou, Chengliang Wang, Yu Wang, “Security Enhancement for RRAM Computing System through Obfuscating Crossbar Row Connections” , in Design, Automation & Test in Europe Conference & Exhibition (DATE), 2020 [PDF] SysArchAI-Sec Xuefei Ning, Yin Zheng, Tianchen Zhao, Yu Wang, Huazhong Yang, “A Generic Graph-based Neural Architecture Encoding Scheme for Predictor-based NAS” , in European Conference on Computer Vision (ECCV), 2020 [SLIDE] [PDF] Eff-Alg Xuefei Ning*, Tianchen Zhao*, Wenshuo Li, Peng Lei, Yu Wang, Huazhong Yang, “DSA: More Efficient Budgeted Pruning via Differentiable Sparsity Allocation” , in European Conference on Computer Vision, https://arxiv.org/abs/2004.02164, 2020 [SLIDE] [PDF] Eff-Alg Tong Wu, Qingqiu Huang, Ziwei Liu, Yu Wang, Dahua Lin, “Distribution-Balanced Loss for Multi-Label Classification in Long-Tailed Datasets” , in European Conference on Computer Vision, 2020 Eff-Alg Zhilin Xu, Jincheng Yu, Chao Yu, Hao Shen, Yu Wang, Huazhong Yang , “CNN-based Feature-point Extraction for Real-time Visual SLAM on Embedded FPGA” , 2020 [PDF] MA-Sys Shulin Zeng, Guohao Dai, Hanbo Sun, Kai Zhong, Guangjun Ge, Kaiyuan Guo, Yu Wang, Huazhong Yang, “Enabling Efficient and Flexible FPGA Virtualization for Deep Learning in the Cloud” , in International Symposium on Field-Programmable Custom Computing Machines (FCCM), IEEE, 2020 [PDF] SysArch Ziqian Wan, Guohao Dai, Yun Joon Soh, Jishen Zhao, Yu Wang, “An Order Sampling Processing-in-Memory Architecture for Approximate Graph Pattern Mining” , in Great Lakes Symposium on VLSI (GLSVLSI), 357-362, 2020 [PDF] SysArch Zhenhua Zhu, Hanbo Sun, Kaizhong Qiu, Lixue Xia, Gokul Krishnan, Guohao Dai, Dimin Niu, Xiaoming Chen, X. Sharon Hu, Yu Cao, Yuan Xie, Yu Wang, Huazhong Yang, “MNSIM 2.0: A Behavior-Level Modeling Tool for Memristor-based Neuromorphic Computing Systems” , in Great Lakes Symposium on VLSI (GLSVLSI), 83-88, 2020 [PDF] SysArch Xiaoming Chen, Yinhe Han, Yu Wang, “Communication Lower Bound in Convolution Accelerators” , in IEEE International Symposium on High Performance Computer Architecture, 2020 [PDF] HC.PROG Tianyu Fu, Ziqian Wan, Guohao Dai, Yu Wang, Huazhong Yang, “LessMine: Reducing Sample Space and Data Access for Dense Pattern Mining” , in IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2020 [SLIDE] [PDF] SysArch Jingbo Hu, Guohao Dai, Yu Wang, Huazhong Yang, “GraphSDH: A General Graph Sampling Framework with Distribution and Hierarchy” , to appear in IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2020 [SLIDE] [PDF] SysArch Qi Liu, Bin Gao, Peng Yao, Dong Wu, Junren Chen, Yachuan Pang, Wenqiang Zhang, Yan Liao, Cheng-Xin Xue, Wei-Hao Chen, Jianshi Tang, Yu Wang, Meng-Fan Chang, He Qian, Huaqiang Wu, “A Fully Integrated Analog ReRAM Based 78.4TOPS/W Compute-In-Memory Chip with Fully Parallel MAC Computing” , in 2020 IEEE International Solid-State Circuits Conference (ISSCC), 500-502, 2020 [PDF] SysArch Guyue Huang, Guohao Dai, Yu Wang and Huazhong Yang, “Towards Fast Graph Neural Network Training with Efficient and Framework-Compatible Sparse-Dense Matrix Multiplication” , in MICRO-53 Student Research Competition (SRC), 2020 [PDF] SysArch Weicong Chen, Xu Tan, Yingce Xia, Tao Qin, Yu Wang, Tie-Yan Liu, “DualLip: A System for Joint Lip Reading and Generation” , 2020 [PDF] AL.OT Chao Yu*, Akash Velu*, Eugene Vinitsky, Yu Wang, Alexandre Bayen, Yi Wu, “Benchmarking Multi-agent Deep Reinforcement Learning Algorithms” , in Neural Information Processing Systems (NeurIPS), 2020 [PDF] MA-Alg Jincheng Yu, Feng Gao, Jianfei Cao, Chao Yu, Zhaoliang Zhang, Zhengfeng Huang, Yu Wang and Huazhong Yang, “CNN-based Monocular Decentralized SLAM on embedded FPGA” , to appear in Reconfigurable Architectures Workshop, 2020 [PDF] MA-Sys Guyue Huang, Guohao Dai, Yu Wang and Huazhong Yang, “GE-SpMM: General-purpose Sparse Matrix-Matrix Multiplication on GPUs for Graph Neural Networks” , in The International Conference for High Performance Computing, Networking, Storage, and Analysis (SC), 1-12, 2020 [PDF] SysArch Shaoxia Fang, Shulin Zeng and Yu Wang, “Optimizing CNN Accelerator with Improved Roofline Model” , in IEEE System-On-Chip Conference (SOCC), IEEE, 2020 [PDF] SysArch Preprint Articles Guyue Huang, Guohao Dai, Yu Wang and Huazhong Yang, “GE-SpMM: General-purpose Sparse Matrix-Matrix Multiplication on GPUs for Graph Neural Networks” , in https://arxiv.org/abs/2007.03179, 2020 [PDF] SysArch Tianchen Zhao*, Xuefei Ning*, Songyi Yang, Shuang Liang, Peng Lei, Jianfei Chen, Huazhong Yang, Yu Wang, “BARS: Joint Search of Cell Topology and Layout for Accurate and Efficient Binary ARchitectures” , in https://arxiv.org/abs/2011.10804, arxiv, 2020 [SLIDE] [PDF] Eff-Alg Tong Wu, Xuefei Ning, Wenshuo Li, Ranran Huang, Huazhong Yang, Yu Wang, “Physical Adversarial Attack on Vehicle Detector in the Carla Simulator” , in https://arxiv.org/abs/2007.16118, 2020 [PDF] AI-Sec Xuefei Ning, Changcheng Tang, Wenshuo Li, Songyi Yang, Tianchen Zhao, Niansong Zhang, Tianyi Lu, Shuang Liang, Huazhong Yang, Yu Wang, “aw_nas: A Modularized and Extensible NAS framework” , in https://arxiv.org/abs/2012.10388, arxiv, 2020 [PDF] Eff-Alg 2019 Journal Articles Kaiyuan Guo, Shulin Zeng, Jincheng Yu, Yu Wang and Huazhong Yang, “A Survey of FPGA-Based Neural Network Inference Accelerator” , in ACM Transactions on Reconfigurable Technology and Systems (TRETS), 12,1, 2019 [PDF] SysArch Liying Xu, Rui Yuan, Zhenhua Zhu, Keqin Liu, Zhaokun Jing, Yimao Cai, Yu Wang, Yuchao Yang, Ru Huang, “Memristor-Based Efficient In-Memory Logic for Cryptologic and Arithmetic Applications” , in Advanced Materials Technologies, 1900212, 4,7, Wiley, 2019 [PDF] EM.OT Guohao Dai, Tianhao Huang, Yu Wang, Huazhong Yang, John Wawrzynek, “HyVE: Hybrid Vertex-Edge Memory Hierarchy for Energy-Efficient Graph Processing” , in IEEE Transactions on Computers (TC), 1131-1146, 68,8, 2019 [PDF] SysArch Ming Cheng, Lixue Xia, Zhenhua Zhu, Yi Cai, Yuan Xie, Yu Wang, Huazhong Yang, “TIME: A Training-in-memory Architecture for RRAM-based Deep Neural Networks” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019 [PDF] SysArchAI-Sec Guohao Dai, Tianhao Huang, Yuze Chi, Jishen Zhao, Guangyu Sun, Yongpan Liu, Yu Wang, Yuan Xie, Huazhong Yang, “GraphH: A Processing-in-Memory Architecture for Large-scale Graph Processing” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 640-653, 38,4, 2019 [PDF] SysArch Lixue Xia, Mengyun Liu, Xuefei Ning, Krishnendu Chakrabarty, Yu Wang, “Fault-Tolerant Training Enabled by On-Line Fault Detection for RRAM-Based Neural Computing System” , to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2019 [PDF] OT Yi Cai, Tianqi Tang, Lixue Xia, Boxun Li, Yu Wang, Huazhong Yang, “Low Bit-width Convolutional Neural Network on RRAM” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2019 [PDF] SysArch Yu Xing, Shuang Liang, Lingzhi Sui, Xijie Jia, Jiantao Qiu, Xin Liu, Yushun Wang, Yu Wang, Yi Shan, “DNNVM : End-to-End Compiler Leveraging Heterogeneous Optimizations on FPGA-based CNN Accelerators” , to appear in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 2019 [PDF] SysArch Conference Papers Guohao Dai, Tianhao Huang, Yu Wang, Huazhong Yang, John Wawrzynek, “GraphSAR: A Sparsity-Aware Processing-in-Memory Architecture for Large-Scale Graph Processing on ReRAMs” , in Asia and South Pacific Design Automation Conference (ASP-DAC), 120-126, 2019 [PDF] SysArch Jilan Lin, Zhenhua Zhu, Yu Wang, Yuan Xie, “Learning the Sparsity for ReRAM: Mapping and Pruning Sparse Neural Network for ReRAM based Accelerator” , in Proceedings of the 24th Asia and South Pacific Design Automation Conference (ASP-DAC), 2019 [PDF] SysArch Mengyun Liu, Lixue Xia, Yu Wang, Krishnendu Chakrabarty, “Fault Tolerance in Neuromorphic Computing Systems” , in Asia and South Pacific Design Automation Conference (ASP-DAC), 2019 OT Kun Wu, Guohao Dai, Xing Hu, Shuangchen Li, Xinfeng Xie, Yu Wang, Yuan Xie, “Memory-Bound Proof-of-Work Acceleration for Blockchain Applications” , in Design Automation Conference (DAC), 177, 2019 SysArch Zhenhua Zhu, Hanbo Sun, Yujun Lin, Guohao Dai, Lixue Xia, Song Han, Yu Wang, Huazhong Yang, “A Configurable Multi-Precision CNN Computing Framework Based on Single Bit RRAM” , in Design Automation Conference (DAC), 56, IEEE, 2019 [SLIDE] [PDF] SysArch Yi Cai, Xiaoming Chen, Lu Tian, Yu Wang, Huazhong Yang, “Enabling Secure in-Memory Neural Network Computing by Sparse Fast Gradient Encryption” , in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2019 [PDF] AI-Sec Zhenhua Zhu, Mingyuan Ma, Jialong Liu, Liying Xu, Xiaoming Chen, Yuchao Yang, Yu Wang and Huazhong Yang, “A General Logic Synthesis Framework for Memristor-based Logic Design ” , in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), IEEE/ACM, 2019 [PDF] SysArch Weicong Chen, Lu Tian, Liwen Fan, Yu Wang, “Augmentation Invariant Training” , IEEE, 2019 [PDF] Eff-Alg Jialong Liu, Mingyuan Ma, Zhenhua Zhu, Yu Wang, Huazhong Yang, “HDC-IM: Hyperdimensional Computing In-Memory Architecture based on RRAM” , 2019 [SLIDE] [PDF] SysArch Yu Xing, Jian Weng, Yushun Wang, Lingzhi Sui, Yi Shan, Yu Wang, “An In-depth Comparison of Compilers for Deep Neural Networks on Hardware” , in The 15th IEEE International Conference on Embedded Software and Systems, 2019 [PDF] SysArch Lu Tian, Ranran Huang, Yu Wang, “Metric Learning in Codebook Generation of Bag-of-Words for Person Re-identification” , to appear in International Conference on Pattern Recognition Applications and Methods, 2019 OT Jinzhang Peng, Lu Tian, Xijie Jia, Haotian Guo, Yongsheng Xu, Dongliang Xie, Hong Luo, Yi Shan, Yu Wang, “Multi-task ADAS system on FPGA” , to appear in IEEE International Conference on Artificial Intelligence Circuits and Systems, 2019 SysArch Yiming Hu, Shuang Liang, Jincheng Yu, Yu Wang, Huazhong Yang, “On-Chip Instruction Generation for Cross-Layer CNN Accelerator on FPGA” , 6, 2019 [PDF] OT Chao Yu, ZuXin Liu, Xin-Jun Liu, Fei Qiao, Yu Wang, Fugui Xie, Qi Wei, Yi Yang, “A DenseNet feature-based loop closure method for visual SLAM system” , in IEEE International Conference on Robotics and Biomimetics (ROBIO), 2019 MA-Sys Books Wieland Brendel, Jonas Rauber, Alexey Kurakin, Nicolas Papernot, Behar Veliqi,Sharada P. Mohanty, Florian Laurent, Marcel Salathé, Matthias Bethge, YaodongYu, Hongyang Zhang, Susu Xu, Hongbao Zhang, Pengtao Xie, Eric P. Xing,Thomas Brunner, Frederik Diehl, Jér?me Rony, Luiz Gustavo Hafemann, ShuyuCheng, Yinpeng Dong, Xuefei Ning, Wenshuo Li, Yu Wang, “Adversarial Vision Challenge” , in The NeurIPS '18 Competition, The Springer Series on Challenges in Machine Learning. Springer, Cham, 2019 [SLIDE] Eff-AlgAI-Sec 2018 Journal Articles Yuliang Sun, Yu Wang, Huazhong Yang, “Bidirectional Database Storage and SQL Query Exploiting RRAM-based Process-in-Memory Structure” , in ACM Transactions on Storage (TOS), 8:1-8:19, 14,1, 2018 SysArch Jincheng Yu, Guangjun Ge, Yiming Hu, Xuefei Ning, Jiantao Qiu, Kaiyuan Guo, Yu Wang and Huazhong Yang, “Instruction Driven Cross-layer CNN Accelerator For Fast Detection on FPGA” , in ACM Transactions on Reconfigurable Technology and Systems (TRETS), 11(3),22, ACM, 2018 [PDF] SysArch Haixiao Du, Mingrui Xia, Kang Zhao, Xuhong Liao, Huazhong Yang, Yu Wang, Yong He, “PAGANI Toolkit: Parallel graph-theoretical analysis package for brain network big data” , in Human brain mapping, 1,17, 2018 [PDF] SysArch Lixue Xia, Wenqin Huangfu, Tianqi Tang, Xiling Yin, Krishnendu Chakrabarty, Yuan Xie, Yu Wang, Huazhong Yang, “Stuck-at Fault Tolerance in RRAM Computing Systems” , in IEEE Journal on Emerging and Selected Topics in Circuits and Systems (JETCAS), 102-115, 8,1, 2018 [PDF] SysArch Kaiyuan Guo, Lingzhi Sui, Jiantao Qiu, Jincheng Yu, Junbin Wang, Song Yao, Song Han, Yu Wang, Huazhong Yang, “Angel-Eye: A Complete Design Flow for Mapping CNN onto Embedded FPGA” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 35-47, 37,1, IEEE, 2018 [PDF] SysArch Lixue Xia, Boxun Li, Tianqi Tang, Peng Gu, Pai-yu Chen, Shimeng Yu, Yu Cao, Yu Wang, Yuan Xie, Huazhong Yang, “MNSIM: Simulation Platform for Memristor-based Neuromorphic Computing System” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 1009-1022, 37,5, 2018 [PDF] SysArch Yun Liang; Xiaolong Xie; Yu Wang; Guangyu Sun; Tao Wang, “Optimizing Cache Bypassing and Warp Scheduling for GPUs” , in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1560-1573, 37,8, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018 SysArchEDA Conference Papers Yi Cai, Tianqi Tang, Lixue Xia, Ming Cheng, Zhenhua Zhu, Yu Wang, Huazhong Yang, “Training Low Bitwidth Convolutional Neural Networks on RRAM” , in Proceedings of the 23rd Asia and South Pacific Design Automation Conference (ASP-DAC), 117-122, 2018 [PDF] SysArch Yi Cai, Yujun Lin, Lixue Xia, Xiaoming Chen, Song Han, Yu Wang, Huazhong Yang, “Long Live TIME: Improving Lifetime for Training-In-Memory Engines by Structured Gradient Sparsification” , in Design Automation Conference (DAC), 2018 [PDF] SysArchAI-Sec Keni Qiu, Weiwen Chen, Yuanchao Xu, Lixue Xia, Yu Wang, Zili Shao, “A Peripheral Circuit Reuse Structure Integrated with a Retimed Data Flow for Low Power RRAM Crossbar-based CNN” , in DATE, 1057-1062, 2018 [PDF] Jilan Lin, Lixue Xia, Zhenhua Zhu, Hanbo Sun, Yi Cai, Hui Gao, Ming Cheng, Xiaoming Chen, Yu Wang and Huazhong Yang, “Rescuing Memristor-based Computing with Non-linear Resistance Levels” , in DATE 2018, 407-412, 2018 [PDF] EM.NN Jincheng Yu, Kaiyuan Guo, Yiming Hu, Xuefei Ning, Jiantao Qiu, Huizi Mao, Song Yao, Tianqi Tang, Boxun Li, Yu Wang, and Huazhong Yang, “Real-time object detection towards high power efficiency” , in Design, Automation & Test in Europe Conference & Exhibition (DATE), 704-708, IEEE, 2018 [PDF] EM.NN Tianhao Huang, Guohao Dai, Yu Wang and Huazhong Yang, “HyVE: Hybrid Vertex-Edge Memory Hierarchy for Energy-Efficient Graph Processing” , in Design, Automation & Test in Europe Conference & Exhibition (DATE), 973-978, 2018 [PDF] SysArch Shulin Zeng, Kaiyuan Guo, Shaoxia Fang, Junlong Kang, Dongliang Xie, Yi Shan, Yu Wang, Huazhong Yang, “An efficient reconfigurable framework for general purpose CNN-RNN models on FPGAs” , in IEEE International Conference on Digital Signal Processing (DSP), IEEE, 2018 SysArch Mengyun Liu, Lixue Xia, Yu Wang, Krishnendu Chakrabarty, “Design of Fault-Tolerant Neuromorphic Computing Systems” , in European Test Symposium, 2018 EM.NN Guohao Dai, Tianhao Huang, Yu Wang, Huazhong Yang, John Wawrzynek, “NewGraph: Balanced Large-scale Graph Processing on FPGAs with Low Preprocessing Overheads” , in International Symposium on Field-Programmable Custom Computing Machines (FCCM), 208-208, 2018 [PDF] SysArch Shaoxia Fang, Lu Tian, Junbin Wang, Shuang Liang, Dongliang Xie, Zhongmin Chen, Lingzhi Sui, Qian Yu, Xiaoming Sun, Yi Shan, and Yu Wang, “Real-time Object Detection and Semantic Segmentation Hardware System with Deep Learning Networks” , in Proceedings of the International Conference on Field-Programmable Technology (FPT), 2018 SysArch Zhenhua Zhu, Jilan Lin, Ming Cheng, Lixue Xia, Hanbo Sun, Xiaoming Chen, Yu Wang and Huazhong Yang, “Mixed Size Crossbar based RRAM CNN Accelerator with Overlapped Mapping Method” , in IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2018 [SLIDE] [PDF] OT Yujun Lin, Song Han, Huizi Mao, Yu Wang, Bill Dally, “Deep Gradient Compression: Reducing the Communication Bandwidth for Distributed Training” , to appear in International Conference on Learning Representations, 2018 Eff-Alg Wenshuo Li, Jincheng Yu, Xuefei Ning, Pengjun Wang, Qi Wei, Yu Wang, Huazhong Yang, “Hu-Fu: Hardware and Software Collaborative Attack Framework against Neural Networks” , in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2018 [PDF] AI-Sec Kaiyuan Guo, Jincheng Yu, Xuefei Ning, Yiming Hu, Yu Wang, Huazhong Yang, “RRAM Based Buffer Design for Energy Efficient CNN Accelerator” , in IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 435-440, IEEE, 2018 [PDF] SysArch Mengyun Liu, Lixue Xia, Yu Wang, Krishnendu Chakrabarty, “Fault Tolerance for RRAM-Based Matrix Operations” , to appear in International Test Conference (ITC), 2018 [PDF] SysArchAI-Sec Gushu Li, Guohao Dai, Shuangchen Li, Yu Wang, Yuan Xie, “GraphIA: An In-situ Accelerator for Large-scale Graph Processing” , in International Symposium on Memory Systems (MEMSYS), 79-84, 2018 [PDF] SysArch Yuanhui Ni, Keni Qiu, Weiwen Chen, Lixue Xia, Yu Wang, “Low Power Driven and Multi-CLP aware Loop Tiling for RRAM Crossbar-based CNN” , in ACM/SIGAPP Symposium On Applied Computing (SAC), 2018 SysArch

学术兼职

2021.1-至今 Award Chair, ACM SIGDA Executive Committee 2013.1-至今 Associate Editor, IEEE Transactions on CAD (TCAD) 2018.1-至今 Associate Editor, IEEE Transactions on Circuits and Systems for Video Technology (TCSVT) 2020.1-至今 Associate Editor, IET Computers and Digital Techniques (CDT) 2020.1-至今 Associate Editor, IEEE Embedded System Letter (ESL) 2020.1-至今 Associate Editor, ACM Transactions on Design Automation of Electronic Systems (TODAES) 2020.1-至今 Associate Editor, ACM Transactions on Embedded Computing Systems (TECS) 2016.1-至今 Senior Member, ACM 2016.1-至今 Member, ACM SIGDA Technical Committee of Reconfigurable Computing 2015.1-至今 Founding Member, IEEE CEDA Beijing Chapter 2014.1-至今 Committee Member, ACM SIGDA Northern China Chapter 2014.1-至今 Senior Member, IEEE 2008.1-至今 Reviewer of APCCAS, ISCAS, ISQED, GLSVLSI, DAC, VLSID08/09, ASP-DAC08/09, DATE09; IEEE Transactions on VLSI, Nature Electronics, International Journal of Electronics, IEEE Transactions on Parallel and Distributed Systems, IEEE Transactions on Computer-Aided Design, ACM Transactions on Embedded Computing Systems, and etc.

推荐链接
down
wechat
bug