当前位置: X-MOL首页全球导师 国内导师 › 王志华

个人简介

王志华博士,清华大学教授,IEEE Fellow(会士)。清华大学学术委员会委员、清华大学集成电路学院学术委员会主任。 王志华教授毕业于清华大学电子工程系,分别于1983、1985和1990年取得学士、硕士和博士学位。在1992~1994年期间,王志华教授作为访问学者,分别在美国卡内基梅隆大学和比利时鲁汶大学进修;于2014~2015年在香港科技大学担任访问教授。 王志华教授的研究重点是CMOS RFIC和生物医学应用,包括RFID,PLL,低功耗无线收发器以及结合了先进RFIC和数字图像处理技术的智能诊所设备。他与同事及学生们合着了13本专著,在国际期刊(会议)上发表了225(569)篇论文,在中国期刊(会议上)上发表了251(29)篇论文,并拥有130项中国专利和10项美国专利。 王志华教授自2000年至2016年,曾经连续16年担任清华大学微电子学研究所副所长、常务副所长(微电子微纳电子学系副系主任);2015年至今,担任清华大学学术委员会委员;2011年至2014年,担任清华大学教授提名委员会委员;2011年至2017年担任清华华大学学位评定委员会“电子与通信工程”分委员会副主席;2011年至2014期间,担任中国证券监督管理委员会第三、四、五届创业板发行审核委员会委员;2007年至-2011担任 “国家高技术研究发展计划(863计划)”信息技术领域专家组专家;2013年至2018年,担任国家核心电子器件、高端通用芯片和基础软件产品重大专项咨询专家组专家;2006年至2010年,担任“教育部高等学校电子信息与电气学科教学指导委员会”委员;2013年至2017年,担任“教育部高等学校电子信息类专业教学指导委员会”委员。王志华教授自1999年起,担任中国半导体行业协会集成电路设计分会副秘书长;自2002起,担任中国通信学会通信集成电路专业委员会副主任委员;自2001年起,担任北京半导体行业协会副会长。 王志华教授在多种国际组织担任过职务。自2016~2018年,担任IEEE固态电路学会执行委员会委员 (AdCom Member of the IEEE SSCS);2018~2019年,担任IEEE SSCS杰出讲员 (Distinguished Lecturer),2019年起担任IEEE电路与系统学会新创建的开源期刊Open Journal of Circuits and Systems副主编;IEEE自2016年起至今,担任 IEEE Trans. on Circuits and Systems-I 副编辑。王志华教授是IEEE固态电路学会北京分会的创建者,并自1999年至2009年连续10年担任主席。曾经担任担任国际无线电联盟(URSI)中国委员会C专业委员会法定代表;期刊IEEE Trans. on Biomedical Circuits and Systems、IEEE Trans. on Circuits and Systems-II以及IEEE Journal of Solid-State Circuits的副编辑及客座编辑;并担任过IEEE Biomedical Circuits and Systems Conference (BioCAS),IEEE Asian Solid-State Circuits Conference(A-SSCC),IEEE Int'l Workshop on Radio-Frequency Integration Technology的会主席;并担任过IEEE International Solid State Circuit Conference (ISSCC) 以及多个国际会议的技术委员会成员(TPC Member)

研究领域

王志华教授的研究重点是CMOS RFIC和生物医学应用,包括RFID,PLL,低功耗无线收发器以及结合了先进RFIC和数字图像处理技术的智能诊所设备

近期论文

查看导师最新文章 (温馨提示:请注意重名现象,建议点开原文通过作者单位确认)

Yining Zhang, Meng Ni, Xiaohua Huang, Woogeun Rhee, Zhihua Wang, A 3.7-mW 2.4-GHz Phase-Tracking GFSK Receiver With BBPLL-Based Demodulation, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Early Access Articles, pp. 1-10, NOV 2018 Jianfu Lin, Zheng Song, Nan Qi, Woogeun Rhee, Zhihua Wang, Baoyong Chi, A 77-GHz Mixed-Mode FMCW Signal Generator Based on Bang-Bang Phase Detector, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.53(10), pp. 2850-2863, OCT 2018 Yining Zhang, Ranran Zhou, Woogeun Rhee, Zhihua Wang, A 1.9-mW 750-kb/s2.4-GHz F-OOK Transmitter With Symmetric FM Template and High-Point Modulation PLL, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.52(10), pp. 2627-2635, OCT 2017 Dang Liu, Xuwen Ni, Ranran Zhou, Woogeun Rhee, Zhihua Wang, A 0.42-mW 1-Mb/s 3-to4-GHz Transceiver in 0.18-mu m CMOS With Flexible Efficiency, Bandwidth, and Distance Control for IoT Applications, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.52(6), pp. 1479-1494, JUN 2017 Xuqiang Zheng, Chun Zhang, Fangxu Lv, Feng Zhao, Shuai Yuan, Shigang Yue, Ziqiang Wang, Fule Li, Zhihua Wang, Hanjun Jiang, A 40-Gb/s Quarter-Rate SerDes Transmitter and Receiver Chipset in 65-nm CMOS, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.52(11), pp. 2963-2978, NOV 2017 Haikun Jia, Lixue Kuang, Wei Zhu, Zhiping Wang, Feng Ma, Zhihua Wang, Baoyong Chi, A 77 GHz Frequency Doubling Two-Path Phased-Array FMCW Transceiver for Automotive Radar, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.51(10), pp. 2299-2311, OCT 2016 Xiaobao Yu, Meng Wei, Yun Yin, Ying Song, Siyang Han, Qiongbing Liu, Zongming Jin, Xiliang Liu, Zhihua Wang, Yichuang Sun, Baoyong Chi, A Fully-Integrated Reconfigurable Dual-Band Transceiver for Short Range Wireless Communications in 180 nm CMOS, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 50 (11), pp. 2572-2590, NOV 2015 Shuli Geng, Dang Liu, Yanfeng Li, Huiying Zhuo, Woogeun Rhee, Zhihua Wang, A 13.3 mW 500 Mb/s IR-UWB Transceiver With Link Margin Enhancement Technique for Meter-Range Communications, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 50(3), pp. 669-678, MAR 2015 Zhihua Wang, Introduction to the Special Section on the 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol.49(11), pp. 2375-2376, NOV 2014 Ni Xu, Woogeun Rhee, Zhihua Wang, A Hybrid Loop Two-Point Modulator Without DCO Nonlinearity Calibration by Utilizing 1 Bit High-Pass Modulation, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 49(10), pp. 2172-2186, OCT 2014 Cong Shi, Jie Yang, Ye Han, Zhongxiang Cao, Qi Qin, Liyuan Liu, Nan-Jian Wu, Zhihua Wang, A 1000 fps Vision Chip Based on a Dynamically Reconfigurable Hybrid Architecture Comprising a PE Array Processor and Self-Organizing Map Neural Network, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol 49(9), pp. 2067-2082, SEP 2014 Lingwei Zhang, Hanjun Jiang, Jianjun Wei, Jingjing Dong, Fule Li, Weitao Li, Jia Gao, Jianwei Cui, Baoyong Chi, Chun Zhang, Zhihua Wang, A Reconfigurable Sliding-IF Transceiver for 400 MHz/2.4 GHz IEEE 802.15.6/ZigBee WBAN Hubs With Only 21% Tuning Range VCO, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 48(11), pp. 2705-2716, NOV 2013 Vadim Gutnik, Nikolaus Klemmer, Zhihua Wang, Michael Green, Roland Thewes, Introduction to the Special Issue on the 2009 IEEE International Solid-State Circuits Conference, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol 44(12), pp. 3227-3231, DEC 2009 Li Zhang, Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Dawn Wang, Zhihua Wang, Hongyi Chen, A Hybrid Spur Compensation Technique for Finite-Modulo Fractional- Phase-Locked Loops, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL44(11), pp 2922-2934, NOV 2009 Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Zhihua Wang, An FIR-Embedded Noise Filtering Method forΔΣ Fractional-N PLL Clock Generators, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 9, pp 2426-2436, SEPTEMBER 2009 Xueyi Yu, Yuanfeng Sun, Woogeun Rhee, Hyung Ki Ahn, Baoyongeong-Ha Park, Zhihua Wang, A ΔΣ Fractional-N Synthesizer With Customized Noise Shaping for WCDMA/HSDPA Applications, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 8, pp 2193-2201, AUGUST 2009 Xiang Xie, Guolin Li, Xinkai Chen, Xiaowen Li, Zhihua Wang, A low-power digital IC design inside the wireless endoscopic capsule, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 41 (11): 2390-2400 NOV 2006 Michael P. Flynn, Philip K. T. Mok, Zhihua Wang, Wolfgang A. Pribyl, Johannes Solhusvik, Introduction to the special issue on the 2006 IEEE International Solid-State Circuits Conference, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 41 (12), pp. 2627-2631, DEC 2006 Leibo Liu, N Chen, HY Meng, L Zhang, Zhihua Wang, HY Chen, A VLSI architecture of JPEG2000 encoder, IEEE JOURNAL OF SOLID-STATE CIRCUITS, Vol. 39 (11): 2032-2040 NOV 2004 Yanshu Guo, Hanjun Jiang, Heng Liu, Zhaoyang Weng, Woogeun Rhee, Chun Zhang, Zhihua Wang, A 120 pJ/bit ΔΣ -Based 2.4-GHz Transmitter Using FIR-Embedded Digital Power Amplifier, IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, Vol.65(12), pp. 1854-1858, DEC 2018

推荐链接
down
wechat
bug