-
Introduction to the Special Issue on the 2020 Symposium on VLSI Circuits IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-03-25 Brian Ginsburg, Yusuke Oike
This Special Issue of the IEEE Journal of Solidstate Circuits highlights some of the best papers presented at the Symposium on VLSI Circuits, held on June 15–19, 2020. Due to the Covid-19 pandemic, this was the first VLSI Symposium that was held fully virtual. While it is difficult to replace all of the interactions of an in-person conference, the symposium featured all of the technical material, including
-
A 0.6V 785-nW Multimodal Sensor Interface IC for Ozone Pollutant Sensing and Correlated Cardiovascular Disease Monitoring IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-12 Rishika Agarwala, Peng Wang, Henry L. Bishop, Anjana Dissanayake, Benton H. Calhoun
In this article, we present the design and analysis of a 785-nW multimodal sensor interface IC for ozone pollutant sensing and correlated cardiovascular disease monitoring based on electrocardiography (ECG) and photoplethysmography (PPG). The proposed hybrid $dc$ offset current cancellation (DCOC) along with a 4- $\text{M}\Omega $ gain-regulated cascode transimpedance amplifier (RGC-TIA) enable PPG
-
Z-PIM: A Sparsity-Aware Processing-in-Memory Architecture With Fully Variable Weight Bit-Precision for Energy-Efficient Deep Neural Networks IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-27 Ji-Hoon Kim, Juhyoung Lee, Jinsu Lee, Jaehoon Heo, Joo-Young Kim
We present an energy-efficient processing-in-memory (PIM) architecture named Z-PIM that supports both sparsity handling and fully variable bit-precision in weight data for energy-efficient deep neural networks. Z-PIM adopts the bit-serial arithmetic that performs a multiplication bit-by-bit through multiple cycles to reduce the complexity of the operation in a single cycle and to provide flexibility
-
A 1.8-Gb/s/Pin 16-Tb NAND Flash Memory Multi-Chip Package With F-Chip for High-Performance and High-Capacity Storage IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-02 Daehoon Na, Jang-woo Lee, Seon-Kyoo Lee, Hwasuk Cho, Junha Lee, Manjae Yang, Eunjin Song, Anil Kavala, Tongsung Kim, Dong-Su Jang, Youngmin Jo, Ji-Yeon Shin, Byung-Kwan Chun, Tae-sung Lee, Byunghoon Jeong, Chi-Weon Yoon, Dongku Kang, Seungjae Lee, Jungdon Ihm, Dae Seok Byeon, Jinyub Lee, Jai Hyuk Song
This article presents a 1.2-V, 1.8-Gb/s/pin 16-Tb NAND flash memory multi-chip package incorporating 16 dies of 1-Tb NAND flash memory and the third-generation F-chip. The proposed third-generation F-chip is developed to meet the performance requirements of a high-capacity storage device that adopts a PCIe Gen four-host interface for higher data throughput. It is implemented with dual bi-directional
-
A Time-/Frequency-Domain Side-Channel Attack Resistant AES-128 and RSA-4K Crypto-Processor in 14-nm CMOS IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-28 Raghavan Kumar, Xiaosen Liu, Vikram Suresh, Harish K. Krishnamurthy, Sudhir Satpathy, Mark A. Anders, Himanshu Kaul, Krishnan Ravichandran, Vivek De, Sanu K. Mathew
A side-channel attack (SCA) hardened AES-128 and RSA crypto-processor in 14-nm CMOS with measured resistance to correlation power/electromagnetic analysis (CPA/CEMA) in both time and frequency domains is demonstrated. While previously reported linear low-dropout regulators (LDOs) offer improvements in minimum-time-to-disclose (MTD) of extracted key bytes in the time domain, their transformations are
-
A 1-MS/s to 1-GS/s Ringamp-Based Pipelined ADC With Fully Dynamic Reference Regulation and Stochastic Scope-on-Chip Background Monitoring in 16 nm IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-29 Benjamin Hershberg, Nereo Markulić, Jorge Lagos, Ewout Martens, Davide Dermit, Jan Craninckx
This article presents a fully dynamic ringamp-based pipelined ADC with integrated reference buffer that operates from 1-MS/s to 1-GS/s and maintains a Walden Figure-of-Merit (FoM) of 14 fJ/conversion-step across this range. A “split-reference” regulation technique is introduced, which provides multiple buffered replicas with varying accuracies and output impedances to the core ADC circuitry, relaxing
-
ADC-DSP-Based 10-to-112-Gb/s Multi-Standard Receiver in 7-nm FinFET IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-17 Haidang Lin, Charlie Boecker, Masum Hossain, Shankar Tangirala, Roxanne Vu, Socrates D. Vamvakos, Eric Groen, Simon Li, Prashant Choudhary, Nanyan Wang, Masumi Shibata, Hossein Taghavi, Marcus van Ierssel, AdilHussain Maniyar, Adam Wodkowski, Kulwant Brar, Nhat Nguyen, Shaishav Desai
This article describes a 4 $\times $ 112 Gb/s digital receiver targeting long-reach (LR) channels. An SNR optimized approach is presented, which relaxes the ADC resolution requirement and the number of FFE taps without sacrificing BER. The discrete-time front end overcomes gain–BW limitations to provide 10+ dB gain at 28 GHz. A 56-GS/s ADC then converts the signal to 6-b digital consuming only 195
-
A Dual-Mode Wi-Fi/BLE Wake-Up Receiver IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-21 Po-Han Peter Wang, Patrick P. Mercier
This article presents a dual-mode wake-up receiver (WuRX) compatible with both Bluetooth-Low-Energy (BLE) and Wi-Fi transmitters. The proposed WuRX achieves the state-of-the-art power (as low as 4.4 $\mu \text{W}$ through a latency-power duty-cycled tradeoff), sensitivity (as low as −92 dBm), and interference resilience (signal-to-interference ratio (SIR) =−67 dB) via a carefully architected frequency
-
Table of contents IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23
Presents the table of contents for this issue of the publication.
-
IEEE JOURNAL OF SOLID-STATE CIRCUITS IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23
Presents a listing of the editorial board, board of governors, current staff, committee members, and/or society editors for this issue of the publication.
-
Table of contents IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23
Presents the table of contents for this issue of the publication.
-
Guest Editorial 2020 Custom Integrated Circuits Conference IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23 Qun Jane Gu, Mark S. Oude Alink
This Special Issue of the IEEE JOURNAL OF SOLID-STATE CIRCUITS features expanded versions of key articles presented at the 2020 Custom Integrated Circuits Conference (CICC), one of IEEE’s first conferences to go fully virtual due to the corona virus pandemic, from March 22 to March 25, 2020. Originally planned to be held at Hyatt Boston Harbor, Boston, MA, USA, growing concerns related to COVID-19
-
A 43–97-GHz Mixer-First Front-End With Quadrature Input Matching and On-Chip Image Rejection IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-29 Amr Ahmed, Min-Yu Huang, David Munzer, Hua Wang
This article presents a wideband millimeter-wave (mmWave) receiver front-end that covers the frequency range from 43 to 97 GHz, supporting the operation in the major parts of the V-, E-, and W-bands. The front-end incorporates a passive mixer-first topology to achieve high linearity and wideband performance. The front-end adopts I/Q generation at the RF port, using a coupled-line coupler (CLC), rather
-
A 368 × 184 Optical Under-Display Fingerprint Sensor Comprising Hybrid Arrays of Global and Rolling Shutter Pixels With Shared Pixel-Level ADCs IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-06 Ping-Hung Yin, Chih-Wen Lu, Jia-Shyang Wang, Keng-Li Chang, Fu-Kuo Lin, Poki Chen
This study proposes a $368\times184$ optical under-display fingerprint sensor designed and prototyped using the 0.11- $\mu \text{m}$ CIS technology. The prototype sensor includes a hybrid array of global and rolling shutter pixels and a shared pixel-level analog-to-digital converter (ADC) embedded in a 32-pixel subarray configuration. It features a fast response time and low power consumption. By directly
-
Sub-μWRComm: 415-nW 1–10-kb/s Physically and Mathematically Secure Electro-Quasi-Static HBC Node for Authentication and Medical Applications IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-20 Shovan Maity, Nirmoy Modak, David Yang, Mayukh Nath, Shitij Avlani, Debayan Das, Josef Danial, Parikha Mehrotra, Shreyas Sen
Low-power secure communication is one of the key enablers of applications, such as secure authentication and remote health monitoring. Radio wave-based communication method, such as Bluetooth, suffers from high-power requirements and physical signal leakage. Electro-quasi-static human body communication (EQS-HBC) utilizes the conductivity of the human body to use it as a communication medium and confine
-
A Current and Temperature Limiting System in a 7-nm Hexagon™ Compute Digital Signal Processor IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-26 Vijay Kiran Kalyanam, Eric Mahurin, Keith A. Bowman, Jacob A. Abraham
A Qualcomm ® Hexagon™ compute digital signal processor (CDSP) enhances reliability by integrating a current and temperature limiting system to avoid circuit failures from operating at excessive current levels for a sustained duration or from thermal runaway. The current and temperature limiting system consists of on-die current and temperature sensors, a limits evaluation (LE) circuit, and a randomized
-
A Gesture Classification SoC for Rehabilitation With ADC-Less Mixed-Signal Feature Extraction and Training Capable Neural Network Classifier IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-18 Yijie Wei, Qiankai Cao, Kofi Otseidu, Levi J. Hargrove, Jie Gu
This article presents a fully integrated gesture and gait classification system-on-chip (SoC) for rehabilitation application. In order to reduce the power consumption and area cost on the analog front end, special analog-to-digital converter (ADC)-less mixed-signal feature extraction (MSFE) circuits were designed to directly generate eight commonly used time-domain features to eliminate the area cost
-
Low Bit-Depth ADCs for Multi-bit Quanta Image Sensors IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-11 Zhaoyang Yin, Yibing M. Wang, Eric R. Fossum
A 1024 $\times $ 896 test chip is presented in this article to explore a low-power readout circuit for a multi-bit quanta image sensor (QIS). Five well-known analog-to-digital converter (ADC) approaches [flash, pipeline, successive approximation register (SAR), cyclic, and single-slope (SS)] are studied, and two types of ADCs, namely, SAR ADCs and SS ADCs, are implemented in the sensor. The ADC power
-
TechRxiv: Share Your Preprint Research with the World! IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23
Prospective authors are requested to submit new, unpublished manuscripts for inclusion in the upcoming event described in this call for papers.
-
Introducing IEEE Collabratec IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23
Advertisement.
-
Information For Authors IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-02-23
These instructions give guidelines for preparing papers for this publication. Presents information for authors publishing in this journal.
-
SNAP: An Efficient Sparse Neural Acceleration Processor for Unstructured Sparse Deep Neural Network Inference IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-29 Jie-Fang Zhang, Ching-En Lee, Chester Liu, Yakun Sophia Shao, Stephen W. Keckler, Zhengya Zhang
Recent developments in deep neural network (DNN) pruning introduces data sparsity to enable deep learning applications to run more efficiently on resource- and energy-constrained hardware platforms. However, these sparse models require specialized hardware structures to exploit the sparsity for storage, latency, and efficiency improvements to the full extent. In this work, we present the sparse neural
-
TechRxiv: Share Your Preprint Research with the World! IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-28
Advertisement, IEEE.
-
Introducing IEEE Collabratec IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-28
Advertisement, IEEE.
-
Together, we are advancing technology IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-28
Advertisement, IEEE.
-
Direct TOF Scanning LiDAR Sensor With Two-Step Multievent Histogramming TDC and Embedded Interference Filter IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-14 Hyeongseok Seo, Heesun Yoon, Dongkyu Kim, Jungwoo Kim, Seong-Jin Kim, Jung-Hoon Chun, Jaehyuk Choi
This article presents a 36-channel scanning light detection and ranging (LiDAR) sensor with an on-chip single-photon avalanche diode array. The sensor has an area-efficient 11-bit in situ histogramming time-to-digital converter with a $3000 \times 78\,\,\mu \text {m}^{2}$ per channel area based on a mixed-signal accumulator, though it is incorporated with histogramming and filtering capabilities. Furthermore
-
A 0.5-V 560-kHz 18.8-fJ/Cycle On-Chip Oscillator With 96.1-ppm/°C Steady-State Stability Using a Duty-Cycled Digital Frequency-Locked Loop IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-14 Daniel S. Truesdell, Shuo Li, Benton H. Calhoun
On-chip oscillators are popular clocking solutions for a wide range of circuits and systems due to their ease of integration and low form factor, but their energy efficiency is typically limited to the pJ/cycle range by a number of contributors, such as active biasing currents, frequency dividers, and comparators. This work presents an on-chip oscillator for energy-efficient Internet-of-Things (IoT)
-
A 200-μW Interface for High-Resolution Eddy-Current Displacement Sensors IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-13 Matheus Pimenta, Çağri Gürleyük, Paul Walsh, Daniel O’Keeffe, Masoud Babaie, Kofi A. A. Makinwa
This article presents a low-power eddy-current sensor interface for touch applications. It is based on a bang-bang digital phase-locked loop (DPLL) that converts the displacement of a metal target into digital information. The PLL consists of a digitally controlled oscillator (DCO) built around a sensing coil and a capacitive DAC, a comparator-based bang-bang phase/frequency detector (PFD), and a digital
-
A Power-Efficient Fractional-N DPLL With Phase Error Quantized in Fully Differential-Voltage Domain IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-12 Lianbo Wu, Thomas Burger, Philipp Schönle, Qiuting Huang
This article presents a power-efficient low-jitter fractional-N digital phase-locked loop (DPLL) that resolves phase error (PE) in the fully differential voltage (FDV) domain. Compared with adopting a traditional time-to-digital converter (TDC), which relies on gate delay in the time domain, power-efficient quantization of PE by the proposed conversion scheme in the FDV domain can be accomplished with
-
A 0.6-mW 16-FSK Receiver Achieving a Sensitivity of −103 dBm at 100 kb/s IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-06 Ali Nikoofard, Hamed Abbasi Zadeh, Patrick P. Mercier
This article presents an RF receiver (RX) designed to exploit the inherent SNR advantage offered by non-coherent 16-FSK modulation relative to more conventional non-coherent modulation schemes, such as FSK and OOK. Specifically, this article demonstrates that when demodulated using two-pole bandpass filters, 16-FSK offers a 4-dB sensitivity advantage compared with binary frequency shift keying (BFSK)
-
A CMOS Dual-Polarized Phased-Array Beamformer Utilizing Cross-Polarization Leakage Cancellation for 5G MIMO Systems IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-06 Jian Pang, Zheng Li, Xueting Luo, Joshua Alvin, Rattanan Saengchan, Ashbir Aviat Fadila, Kiyoshi Yanagisawa, Yi Zhang, Zixin Chen, Zhongliang Huang, Xiaofan Gu, Rui Wu, Yun Wang, Dongwon You, Bangan Liu, Zheng Sun, Yuncheng Zhang, Hongye Huang, Naoki Oshima, Keiichi Motoi, Shinichi Hori, Kazuaki Kunihiro, Tomoya Kaneko, Atsushi Shirane, Kenichi Okada
This article introduces a power-efficient and low-cost CMOS 28-GHz phased-array beamformer supporting fifth-generation (5G) dual-polarized multiple-in-multiple-out (MIMO) (DP-MIMO) operation. To improve the cross-polarization (cross-pol.) isolation degraded by the antennas and propagation, a power-efficient analog-assisted cross-pol. leakage cancellation technique is implemented. After the high-accuracy
-
A 440-μW, 109.8-dB DR, 106.5-dB SNDR Discrete-Time Zoom ADC With a 20-kHz BW IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2021-01-05 Efraïm Eland, Shoubhik Karmakar, Burak Gönen, Robert van Veldhoven, Kofi A. A. Makinwa
This article describes a discrete-time zoom analog-to-digital converter (ADC) intended for audio applications. It uses a coarse 5-bit SAR ADC in tandem with a fine third-order delta–sigma modulator ( $\Delta \Sigma \text{M}$ ) to efficiently obtain a high dynamic range. To minimize its over-sampling ratio (OSR) and, thus, its digital power consumption, the modulator employs a 2-bit quantizer and a
-
RRAM-DNN: An RRAM and Model-Compression Empowered All-Weights-On-Chip DNN Accelerator IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-31 Ziyun Li, Zhehong Wang, Li Xu, Qing Dong, Bowen Liu, Chin-I Su, Wen-Ting Chu, George Tsou, Yu-Der Chih, Tsung-Yung Jonathan Chang, Dennis Sylvester, Hun-Seok Kim, David Blaauw
This article presents an energy-efficient deep neural network (DNN) accelerator with non-volatile embedded resistive random access memory (RRAM) for mobile machine learning (ML) applications. This DNN accelerator implements weight pruning, non-linear quantization, and Huffman encoding to store all weights on RRAM, enabling single-chip processing for large neural network models without external memory
-
A Proactive System for Voltage-Droop Mitigation in a 7-nm Hexagon™ Processor IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-30 Vijay Kiran Kalyanam, Eric Mahurin, Keith A. Bowman, Jacob A. Abraham
A proactive clock-gating system (PCGS) in a 7-nm Qualcomm ® Hexagon™ digital signal processor (DSP) improves performance or energy efficiency by reducing the magnitude of supply voltage ( $V_{\mathrm {DD}}$ ) droops. The PCGS integrates a digital power meter (DPM) to monitor the power per cycle based on microarchitectural events and a voltage-clock-gating (VCG) circuit with a power-delivery-network
-
A Single-Trim Switched Capacitor CMOS Bandgap Reference With a 3σ Inaccuracy of +0.02%, −0.12% for Battery-Monitoring Applications IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-30 Jun-Ho Boo, Kang-Il Cho, Ho-Jin Kim, Jae-Geun Lim, Yong-Sik Kwak, Seung-Hoon Lee, Gil-Cho Ahn
This article presents a single-trim switched capacitor (SC) CMOS bandgap reference (BGR) for battery monitoring applications. For a single-temperature trimming, $\beta $ -compensation and curvature correction techniques are employed to minimize non-proportional-to-absolute-temperature (PTAT) errors. In conjunction with these techniques, this article proposes dynamic element matching (DEM) techniques
-
An 8-Bit 1-GS/s Asynchronous Loop-Unrolled SAR-Flash ADC With Complementary Dynamic Amplifiers in 28-nm CMOS IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-30 Dong-Ryeol Oh, Kyoung-Jun Moon, Won-Mook Lim, Ye-Dam Kim, Eun-Ji An, Seung-Tak Ryu
An 8-bit 1-GS/s asynchronous loop-unrolled (LU) successive approximation register (SAR)-Flash hybrid analog-to-digital converter (ADC) with complementary dynamic amplifiers (CDAs) is presented. The proposed ADC is a combination of an asynchronous LU-SAR ADC and a reference-embedding 8 $\times $ interpolating flash (I-Flash) ADC to enhance the conversion speed. Operating the CDAs in a dual-edge manner
-
Table of contents IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23
Presents the table of contents for this issue of the publication.
-
IEEE JOURNAL OF SOLID-STATE CIRCUITS IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23
Presents a listing of the editorial board, board of governors, current staff, committee members, and/or society editors for this issue of the publication.
-
Table of contents IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23
Presents the table of contents for this issue of the publication.
-
Introduction to the Special Issue on the 2020 IEEE International Solid-State Circuits Conference (ISSCC) IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23 Friedel Gerfers, Ping-Hsuan Hsieh, Dejan Markovć, Jun Deguchi, Eric Karl
This Special Issue of the IEEE Journal of Solid-State Circuits is dedicated to a collection of the best articles selected from the 2020 IEEE International Solid-State Circuits Conference (ISSCC) that took place on February 16–20, 2020, in San Francisco, CA, USA. This Special Issue covers articles from the Wireline, Digital Circuits, Digital Architectures and Systems (DASs), Machine Learning and AI
-
10-to-112-Gb/s DSP-DAC-Based Transmitter in 7-nm FinFET With Flex Clocking Architecture IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-11-26 Eric Groen, Charlie Boecker, Masum Hossain, Roxanne Vu, Socrates D. Vamvakos, Haidang Lin, Simon Li, Marcus Van Ierssel, Prashant Choudhary, Nanyan Wang, Masumi Shibata, Mohammad Hossein Taghavi, Kulwant Brar, Nhat Nguyen, Shaishav Desai
This article presents a multiprotocol DSP-DAC-based SerDes architecture. The lookup table (LUT)-based DSP provides flexible number of taps for equalization, and soft switching driver allows 1.2-Vpp transmit swing to achieve higher SNR. The architecture employs cascaded phase-locked loop (PLL)-based flexible clocking to support a wide range of data rates from 10 to 112 Gb/s. The $LC$ PLL generates 10
-
IntAct: A 96-Core Processor With Six Chiplets 3D-Stacked on an Active Interposer With Distributed Interconnects and Integrated Power Management IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-10 Pascal Vivet, Eric Guthmuller, Yvain Thonnart, Gael Pillonnet, César Fuguet, Ivan Miro-Panades, Guillaume Moritz, Jean Durupt, Christian Bernard, Didier Varreau, Julian Pontes, Sébastien Thuries, David Coriat, Michel Harrand, Denis Dutoit, Didier Lattard, Lucile Arnaud, Jean Charbonnier, Perceval Coudrain, Arnaud Garnier, Frédéric Berger, Alain Gueugnot, Alain Greiner, Quentin L. Meunier, Alexis Farcy
In the context of high-performance computing, the integration of more computing capabilities with generic cores or dedicated accelerators for artificial intelligence (AI) application is raising more and more challenges. Due to the increasing costs of advanced nodes and the difficulties of shrinking analog and circuit input output signals (IOs), alternative architecture solutions to single die are becoming
-
Cores, Cache, Content, and Characterization: IBM’s Second Generation 14-nm Product, z15 IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-10-28 David Wolpert, Christopher Berry, Brian Bell, Adam Jatkowski, Jesse Surprise, John Isakson, Ofer Geva, Brian Deskin, Mark Cichanowski, Dina Hamid, Chris Cavitt, Gregory Fredeman, Dinesh Kannambadi, Anthony Saporito, Ashutosh Mishra, Alper Buyuktosunoglu, Tobias Webel, Preetham Lobo, Ramon Bertran, Pradeep Bhadravati Parashurama, Dureseti Chidambarrao, Brandon Bruen, Alan Wagstaff, Eric Lukes, Sean
The IBM z15 system improves upon the prior-generation z14 design within the same chip footprint and technology node, while featuring the addition of two cores, 33%/100%/43% additional L2/L3/L4 cache, as well as additional core features and on-chip accelerators. The largest 5-drawer system configuration includes 20 central processor (CP) chips, five system controller (SC) chips, and 40 TB of memory
-
A 1.5-μJ/Task Path-Planning Processor for 2-D/3-D Autonomous Navigation of Microrobots IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-11-24 Chieh Chung, Chia-Hsiang Yang
Autonomous microrobots have been utilized in a wide range of applications. Energy-efficient, real-time path planning for navigation is essential. This work presents a path-planning processor for 2-D/3-D autonomous navigation. Energy and latency are minimized through algorithm-architecture optimization. The processor utilizes the rapidly exploring random tree (RRT) algorithm to ensure efficient planning
-
A 975-mW Fully Integrated Genetic Variant Discovery System-on-Chip in 28 nm for Next-Generation Sequencing IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-10-26 Yi-Chung Wu, Yen-Lung Chen, Chung-Hsuan Yang, Chao-Hsi Lee, Chao-Yang Yu, Nian-Shyang Chang, Ling-Chien Chen, Jia-Rong Chang, Chun-Pin Lin, Hung-Lieh Chen, Chi-Shi Chen, Jui-Hung Hung, Chia-Hsiang Yang
This article presents the first dedicated system-on-chip (SoC) that supports full data analysis workflow for genetic variant discovery for next-generation sequencing (NGS). The SoC implements four major steps: preprocessing, short-read mapping, haplotype calling, and variant calling. By adopting the sBWT-based short read mapping and Genome Analysis ToolKit haplotype caller-based variant calling algorithms
-
EM and Power SCA-Resilient AES-256 Through >350× Current-Domain Signature Attenuation and Local Lower Metal Routing IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-11-24 Debayan Das, Josef Danial, Anupam Golder, Nirmoy Modak, Shovan Maity, Baibhab Chatterjee, Dong-Hyun Seo, Muya Chang, Avinash L. Varna, Harish K. Krishnamurthy, Sanu Mathew, Santosh Ghosh, Arijit Raychowdhury, Shreyas Sen
Mathematically secure cryptographic algorithms, when implemented on a physical substrate, leak critical “side-channel” information, leading to power and electromagnetic (EM) analysis attacks. Circuit-level protections involve switched capacitor, buck converter, or series low-dropout (LDO) regulator-based implementations, each of which suffers from significant power, area, or performance tradeoffs and
-
A 510-nW Wake-Up Keyword-Spotting Chip Using Serial-FFT-Based MFCC and Binarized Depthwise Separable CNN in 28-nm CMOS IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-10-20 Weiwei Shan, Minhao Yang, Tao Wang, Yicheng Lu, Hao Cai, Lixuan Zhu, Jiaming Xu, Chengjun Wu, Longxing Shi, Jun Yang
We propose a sub- $\mu \text{W}$ always-ON keyword spotting ( $\mu $ KWS) chip for audio wake-up systems. It is mainly composed of a neural network (NN) and a feature extraction (FE) circuit. For significantly reducing the memory footprint and computational load, four techniques are used to achieve ultra-low-power consumption: 1) a serial-FFT-based Mel-frequency cepstrum coefficient circuit is designed
-
A 5-nm 135-Mb SRAM in EUV and High-Mobility Channel FinFET Technology With Metal Coupling and Charge-Sharing Write-Assist Circuitry Schemes for High-Density and Low-VMIN Applications IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-10 Tsung-Yung Jonathan Chang, Yen-Huei Chen, Wei-Min Chan, Hank Cheng, Po-Sheng Wang, Yangsyu Lin, Hidehiro Fujiwara, Robin Lee, Hung-Jen Liao, Ping-Wei Wang, Geoffrey Yeap, Quincy Li
A 135-Mb 0.021- $\mu \text{m}^{2}$ 6-T high-density SRAM bit cell with write-assist circuitries was successfully implemented by using 5-nm HK-metal gate FinFET with EUV and high-mobility channel (HMC) technology. This article proposes the metal capacitor coupling negative bitline (NBL) and the charge-sharing lower cell-VDD (CS-LCV) write-assist techniques to reduce the SRAM minimal supply voltage.
-
A 7-nm Compute-in-Memory SRAM Macro Supporting Multi-Bit Input, Weight and Output and Achieving 351 TOPS/W and 372.4 GOPS IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-11-06 Mahmut E. Sinangil, Burak Erbagci, Rawan Naous, Kerem Akarvardar, Dar Sun, Win-San Khwa, Hung-Jen Liao, Yih Wang, Jonathan Chang
In this work, we present a compute-in-memory (CIM) macro built around a standard two-port compiler macro using foundry 8T bit-cell in 7-nm FinFET technology. The proposed design supports 1024 4 b $\times $ 4 b multiply-and-accumulate (MAC) computations simultaneously. The 4-bit input is represented by the number of read word-line (RWL) pulses, while the 4-bit weight is realized by charge sharing among
-
A 16-GB 640-GB/s HBM2E DRAM With a Data-Bus Window Extension Technique and a Synergetic On-Die ECC Scheme IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-10-27 Ki Chul Chun, Yong Ki Kim, Yesin Ryu, Jaewon Park, Chi Sung Oh, Young Yong Byun, So Young Kim, Dong Hak Shin, Jun Gyu Lee, Byung-Kyu Ho, Min-Sang Park, Seong-Jin Cho, Seunghan Woo, Byoung Mo Moon, Beomyong Kil, Sungoh Ahn, Jae Hoon Lee, Soo Young Kim, Seouk-Kyu Choi, Jae-Seung Jeong, Sung-Gi Ahn, Jihye Kim, Jun Jin Kong, Kyomin Sohn, Nam Sung Kim, Jung-Bae Lee
Circuit and design techniques are presented for enhancing the performance and reliability of a 3-D-stacked high bandwidth memory-2 extension (HBM2E). A data-bus window extension technique is implemented to cope with reduced clock cycle time ranging from data-path architecture, through-silicon via (TSV) placement, and TSV-PHY alignment. A power TSV placement in the middle of array and at the chip edge
-
A 128Gb 1-bit/Cell 96-Word-Line-Layer 3D Flash Memory to Improve the Random Read Latency With tProg = 75 μs and tR = 4 μs IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-10-21 Toshiyuki Kouchi, Mami Kakoi, Noriyasu Kumazaki, Akio Sugahara, Akihiro Imamoto, Yasufumi Kajiyama, Yuri Terada, Bushnaq Sanad, Naoaki Kanagawa, Takuyo Kodama, Ryo Fukuda, Hiromitsu Komai, Norichika Asaoka, Hidekazu Ohnishi, Ryosuke Isomura, Takaya Handa, Kensuke Yamamoto, Yuki Ishizaki, Yoko Deguchi, Atsushi Okuyama, Junichi Sato, Hiroki Yabe, Hua-Ling Cynthia Hsu, Masahiro Yoshihara
A 128-Gb 1-bit/cell 3-D flash memory chip has been developed with 96-word-line-layer technology. A novel chip floorplan architecture with less time constants of wordline and bitline realizes fast read access time. A newly introduced program sequence achieves for higher reliability with less read-retry even after write/erase cycles. External VPP supply (12 V), current mode reference distribution, and
-
A 1.16-V 5.8-to-13.5-ppm/°C Curvature-Compensated CMOS Bandgap Reference Circuit With a Shared Offset-Cancellation Method for Internal Amplifiers IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-11-05 Keng Chen, Luca Petruzzi, Ronald Hulfachor, Marvin Onabajo
This article introduces an accurate current-mode bandgap reference circuit design with a novel shared offset compensation scheme for its internal amplifiers. This bandgap circuit has been designed to operate over a very wide temperature range from −40 °C to 150 °C. Its output voltage is 1.16 V with a 3.3-V supply voltage. A multi-section curvature compensation method alleviates the error from the bipolar
-
Erratum to “A 20 mV Input Boost Converter With Efficient Digital Control for Thermoelectric Energy Harvesting” IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23 Eric J. Carlson, Kai Strunz, Brian P. Otis
In the above article [1] , due to a production error, Table I was duplicated. The correct Table II is given below: (see table attached)
-
Information For Authors IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23
These instructions give guidelines for preparing papers for this publication. Presents information for authors publishing in this journal.
-
A High-Linearity and Low-EMI Multilevel Class-D Amplifier IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-23 Huajun Zhang, Shoubhik Karmakar, Lucien J. Breems, Quino Sandifort, Marco Berkhout, Kofi A. A. Makinwa, Qinwen Fan
This article presents a Class-D audio amplifier for automotive applications. Low electromagnetic interference (EMI) and, hence, smaller LC filter size are obtained by employing a fully differential multilevel output stage switching at 4.2 MHz. A modulation scheme with minimal switching activity at zero input reduces idle power, which is further assisted by a gate-charge reuse scheme. It also achieves
-
A 0.64-pJ/Bit 28-Gb/s/Pin High-Linearity Single-Ended PAM-4 Transmitter With an Impedance-Matched Driver and Three-Point ZQ Calibration for Memory Interface IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-22 Yong-Un Jeong, Hyunkyu Park, Changho Hyun, Joo-Hyung Chae, Shin-Hyun Jeong, Suhwan Kim
A single-ended four-level pulse-amplitude modulation (PAM-4) transmitter (TX) for memory interfaces achieves high signal integrity by combining an impedance-matched PAM-4 driver with a three-point ZQ calibration scheme. This improves PAM-4 linearity by allowing the driver to compensate for its impedance variation caused by the change in the drain–source voltage ( $V_{\mathrm {DS}}$ ) to suit the four
-
MANA: A Monolithic Adiabatic iNtegration Architecture Microprocessor Using 1.4-zJ/op Unshunted Superconductor Josephson Junction Devices IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-15 Christopher L. Ayala, Tomoyuki Tanaka, Ro Saito, Mai Nozoe, Naoki Takeuchi, Nobuyuki Yoshikawa
We conducted the first successful demonstration of an adiabatic microprocessor based on unshunted Josephson junction (JJ) devices manufactured using a Nb/AlO x /Nb superconductor IC fabrication process. It is a hybrid of RISC and dataflow architectures operating on 4-b data words. We demonstrate register file R/W access, ALU execution, hardware stalling, and program branching performed at 100 kHz under
-
An Ultra-Low-Power Image Signal Processor for Hierarchical Image Recognition With Deep Neural Networks IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-14 Hyochan An, Sam Schiferl, Siddharth Venkatesan, Tim Wesley, Qirui Zhang, Jingcheng Wang, Kyojin D. Choo, Shiyu Liu, Bowen Liu, Ziyun Li, Luyao Gong, Hengfei Zhong, David Blaauw, Ronald Dreslinski, Hun Seok Kim, Dennis Sylvester
We propose an ultra-low-power (ULP) image signal processor (ISP) that performs on-the-fly in-processing frame compression/decompression and hierarchical event recognition to exploit the temporal and spatial sparsity in an image sequence. This approach reduces energy consumption spent processing and transmitting unimportant image data to achieve a 16 $\times $ imaging system energy gain in an intruder
-
Monostatic and Bistatic G-Band BiCMOS Radar Transceivers With On-Chip Antennas and Tunable TX-to-RX Leakage Cancellation IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-11 Maciej Kucharski, Wael Abdullah Ahmad, Herman Jalli Ng, Dietmar Kissinger
This article presents $G$ -band monostatic and bistatic radar transceivers (TRX) incorporating on-chip antennas for short-range high-precision applications. The circuits were fabricated using a silicon–germanium (SiGe) BiCMOS technology offering heterojunction bipolar transistors (HBTs) with $\bf {f}_{\mathbf {T}}/\bf {f}_{\mathbf {MAX}}$ of 300/500 GHz. The monostatic TRX implements a tunable leakage
-
Dual-Port SOT-MRAM Achieving 90-MHz Read and 60-MHz Write Operations Under Field-Assistance-Free Condition IEEE J. Solid-State Circuits (IF 4.929) Pub Date : 2020-12-09 Masanori Natsui, Akira Tamakoshi, Hiroaki Honjo, Toshinari Watanabe, Takashi Nasuno, Chaoliang Zhang, Takaho Tanigawa, Hirofumi Inoue, Masaaki Niwa, Toru Yoshiduka, Yasuo Noguchi, Mitsuo Yasuhira, Yitao Ma, Hui Shen, Shunsuke Fukami, Hideo Sato, Shoji Ikeda, Hideo Ohno, Tetsuo Endoh, Takahiro Hanyu
The development of new functional memories using emerging nonvolatile devices has been widely investigated. Spin-transfer torque magnetoresistive random access memory (STT-MRAM) has become new technology platform to overcome the issue in power consumption of logic for the application from IoT to AI; however, STT-MRAM has a tradeoff relationship between endurance, retention, and access time. This is
Contents have been reproduced by permission of the publishers.