Skip to main content

Thank you for visiting nature.com. You are using a browser version with limited support for CSS. To obtain the best experience, we recommend you use a more up to date browser (or turn off compatibility mode in Internet Explorer). In the meantime, to ensure continued support, we are displaying the site without styles and JavaScript.

  • Review Article
  • Published:

Wurtzite and fluorite ferroelectric materials for electronic memory

Abstract

Ferroelectric materials, the charge equivalent of magnets, have been the subject of continued research interest since their discovery more than 100 years ago. The spontaneous electric polarization in these crystals, which is non-volatile and programmable, is appealing for a range of information technologies. However, while magnets have found their way into various types of modern information technology hardware, applications of ferroelectric materials that use their ferroelectric properties are still limited. Recent advances in ferroelectric materials with wurtzite and fluorite structure have renewed enthusiasm and offered new opportunities for their deployment in commercial-scale devices in microelectronics hardware. This Review focuses on the most recent and emerging wurtzite-structured ferroelectric materials and emphasizes their applications in memory and storage-based microelectronic hardware. Relevant comparisons with existing fluorite-structured ferroelectric materials are made and a detailed outlook on ferroelectric materials and devices applications is provided.

This is a preview of subscription content, access via your institution

Access options

Buy this article

Prices may be subject to local taxes which are calculated during checkout

Fig. 1: Comparisons of current versus vertical memory integrated computer architectures.
Fig. 2: Comparison of access time versus integration density (in bits/mm2) for various volatile memory and NVM technologies.
Fig. 3: Models of prominent thin-film FE crystal structures.
Fig. 4: FE properties of Al1−xScxN formed via various growth methods.
Fig. 5: Material/FE properties of various known FE materials.
Fig. 6: Schematics representations of four prominent FE memory devices and corresponding current–voltage characteristics.

Similar content being viewed by others

References

  1. Ielmini, D. & Wong, H. S. P. In-memory computing with resistive switching devices. Nat. Electron. 1, 333–343 (2018).

    Article  Google Scholar 

  2. Salahuddin, S., Ni, K. & Datta, S. The era of hyper-scaling in electronics. Nat. Electron. 1, 442–450 (2018).

    Article  Google Scholar 

  3. Mack, C. A. Fifty years of Moore’s law. IEEE Trans. Semicond. Manuf. 24, 202–207 (2011).

    Article  Google Scholar 

  4. Fazio, A. Advanced Technology and Systems of Cross Point Memory. In 2020 IEEE International Electron Devices Meeting 24.1.1–24.1.4 (IEEE 2020).

  5. Jung, S. et al. A crossbar array of magnetoresistive memory devices for in-memory computing. Nature 601, 211–216 (2022).

    Article  CAS  Google Scholar 

  6. Ali, T. et al. A FeFET with a novel MFMFIS gate stack: towards energy-efficient and ultrafast NVMs for neuromorphic computing. Nanotechnology 32, 425201 (2021).

  7. Covi, E. et al. Ferroelectric-based synapses and neurons for neuromorphic computing. Neuromorph. Comput. Eng. 2, 012002 (2022).

    Article  Google Scholar 

  8. You, Z. & Ramanathan, S. Mott memory and neuromorphic devices. Proc. IEEE 103, 1289–1310 (2015).

    Article  Google Scholar 

  9. Mulaosmanovic, H. et al. Novel ferroelectric FET based synapse for neuromorphic systems. In 2017 Symposium on VLSI Technology T176–T177 (IEEE, 2017).

  10. Lederer, M. et al. Ferroelectric field effect transistors as a synapse for neuromorphic application. IEEE Trans. Electron Dev. 68, 2295–2300 (2021).

    Article  CAS  Google Scholar 

  11. Yin, S. et al. Monolithically integrated RRAM- and CMOS-based in-memory computing optimizations for efficient deep learning. IEEE Micro 39, 54–63 (2019).

    Article  Google Scholar 

  12. Peng, X. et al. Optimizing weight mapping and data flow for convolutional neural networks on RRAM based processing-in-memory architecture. In 2019 IEEE International Symposium on Circuits and Systems 1–5 (IEEE, 2019).

  13. Yin, S., Sun, X., Yu, S. & Seo, J.-S. High-throughput in-memory computing for binary deep neural networks with monolithically integrated RRAM and 90-nm CMOS. IEEE Trans. Electron Dev. 67, 4185–4192 (2020).

    Article  Google Scholar 

  14. Kang, W., Wang, H., Wang, Z., Zhang, Y. & Zhao, W. In-memory processing paradigm for bitwise logic operations in STT–MRAM. IEEE Trans. Magn. 53, 6202404 (2017).

    Article  Google Scholar 

  15. Patil, D. A. et al. An MRAM-based deep in-memory architecture for deep neural networks. In 2019 IEEE International Symposium on Circuits and Systems 1–5 (IEEE, 2019).

  16. Migliato Marega, G. et al. Logic-in-memory based on an atomically thin semiconductor. Nature 587, 72–77 (2020).

    Article  CAS  Google Scholar 

  17. Yang, R. et al. Ternary content-addressable memory with MoS2 transistors for massively parallel data search. Nat. Electron. 2, 108–114 (2019).

    Article  Google Scholar 

  18. Sebastian, A., Le Gallo, M., Khaddam-Aljameh, R. & Eleftheriou, E. Memory devices and applications for in-memory computing. Nat. Nanotechnol. 15, 529–544 (2020).

    Article  CAS  Google Scholar 

  19. Dutta, S. et al. Monolithic 3D Integration of High Endurance Multi-Bit Ferroelectric FET for Accelerating Compute-In-Memory. In 2020 IEEE International Electron Devices Meeting 36.4.1–36.4.4 (IEEE, 2020).

  20. Khan, A. I., Keshavarzi, A. & Datta, S. The future of ferroelectric field-effect transistor technology. Nat. Electron. 3, 588–597 (2020).

    Article  Google Scholar 

  21. Tong, L. et al. 2D materials-based homogeneous transistor-memory architecture for neuromorphic hardware. Science 373, 1353–1358 (2021).

    Article  CAS  Google Scholar 

  22. Akinwande, D. et al. Graphene and two-dimensional materials for silicon technology. Nature 573, 507–518 (2019).

    Article  CAS  Google Scholar 

  23. Polyushkin, D. K. et al. Analogue two-dimensional semiconductor electronics. Nat. Electron. 3, 486–491 (2020).

    Article  CAS  Google Scholar 

  24. Sun, C. et al. First demonstration of BEOL-compatible ferroelectric TCAM featuring a-IGZO Fe-TFTs with large memory window of 2.9 V, scaled channel length of 40 nm, and high endurance of 108 cycles. In 2021 Symposium on VLSI Technology 1–2 (2021).

  25. Ni, K. et al. Ferroelectric ternary content-addressable memory for one-shot learning. Nat. Electron. 2, 521–529 (2019).

    Article  Google Scholar 

  26. Wang, D. et al. Ferroelectric switching in sub-20 nm aluminum scandium nitride thin films. IEEE Electron Dev. Lett. 41, 1774–1777 (2020).

    Article  CAS  Google Scholar 

  27. Liu, X. et al. Post-CMOS compatible aluminum scandium nitride/2D channel ferroelectric field-effect-transistor memory. Nano Lett. 21, 3753–3761 (2021).

    Article  CAS  Google Scholar 

  28. Wang, D. et al. Sub‐microsecond polarization switching in (Al,Sc)N ferroelectric capacitors grown on complementary metal–oxide–semiconductor‐compatible aluminum electrodes. Phys. Status Solidi RRL 15, 2000575 (2021).

  29. Valasek, J. Piezo-electric and allied phenomena in Rochelle salt. Phys. Rev. 17, 475–481 (1921).

    Article  CAS  Google Scholar 

  30. Jan, F. Joseph Valasek and the discovery of ferroelectricity. In Proc. 1994 IEEE International Symposium on Applications of Ferroelectrics 1–5 (IEEE, 1994).

  31. McAdams, H. P. et al. A 64-Mb embedded FRAM utilizing a 130-nm 5LM Cu/FSG logic process. IEEE J. Solid-State Circ. 39, 667–677 (2004).

    Article  Google Scholar 

  32. Desu, S. B. Minimization of fatigue in ferroelectric films. Phys. Status Solidi A https://doi.org/10.1002/pssa.2211510224 (1995).

  33. Yoo, D. C. et al. Highly reliable 50nm-thick PZT capacitor and low voltage FRAM device using Ir/SrRuO3/MOCVD PZT capacitor technology. In 2005 Symposium on VLSI Technology 100–101 (IEEE, 2005).

  34. Trieloff, M. et al. Structure and thermal history of the H-chondrite parent asteroid revealed by thermochronometry. Nature 422, 502–506 (2003).

    Article  CAS  Google Scholar 

  35. Park, M. H., Kwon, D., Schroeder, U. & Mikolajick, T. Binary ferroelectric oxides for future computing paradigms. MRS Bull. 46, 1071–1079 (2021).

    Article  CAS  Google Scholar 

  36. Schenk, T. & Mueller, S. A New Generation of Memory Devices Enabled by Ferroelectric Hafnia and Zirconia. In 2021 IEEE International Symposium on Applications of Ferroelectrics 1–11 (IEEE, 2021).

  37. Parsonnet, E. et al. Toward intrinsic ferroelectric switching in multiferroic BiFeO3. Phys. Rev. Lett. 125, 067601 (2020).

    Article  CAS  Google Scholar 

  38. Tyunina, M. et al. Perovskite ferroelectric tuned by thermal strain. Sci. Rep. 9, 3677 (2019).

    Article  CAS  Google Scholar 

  39. Li, B. W. et al. Atomic layer engineering of high-kappa ferroelectricity in 2D perovskites. J. Am. Chem. Soc. 139, 10868–10874 (2017).

    Article  CAS  Google Scholar 

  40. Fong, D. D. et al. Ferroelectricity in ultrathin perovskites. Science 304, 1650–1653 (2004).

    Article  CAS  Google Scholar 

  41. Jiang, Y. et al. Enabling ultra-low-voltage switching in BaTiO3. Nat. Mater. https://doi.org/10.1038/s41563-022-01266-6 (2022).

  42. Ye, H.-Y. et al. Metal-free three-dimensional perovskite ferroelectrics. Science 361, 6398 (2018).

    Article  Google Scholar 

  43. Schroeder, U., Park, M. H., Mikolajick, T. & Hwang, C. S. The fundamentals and applications of ferroelectric HfO2. Nat. Rev. Mater. https://doi.org/10.1038/s41578-022-00431-2 (2022).

  44. Boscke, T. S. et al. Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors. In 2011 International Electron Devices Meeting 24.5.1–24.5.4 (IEEE, 2011).

  45. Böscke, T. S., Müller, J., Bräuhaus, D., Schröder, U. & Böttger, U. Ferroelectricity in hafnium oxide thin films. Appl. Phys. Lett. 99, 102903 (2011).

  46. Fichtner, S., Wolff, N., Lofink, F., Kienle, L. & Wagner, B. AlScN: a III-V semiconductor based ferroelectric. J. Appl. Phys. 125, 114103 (2019).

  47. Whatmore, R. W., You, Y.-M., Xiong, R.-G. & Eom, C.-B. 100 years of ferroelectricity—a celebration. APL Mater. 9, 070401 (2021).

  48. Robertson, J. High dielectric constant oxides. Eur. Phys. J. Appl. Phys. 28, 265–291 (2004).

    Article  CAS  Google Scholar 

  49. Breyer, E. T. et al. Reconfigurable NAND-NOR logic gates in 28 nm HKMG and 22 nm FD-SOI FeFET technology. In 2017 IEEE International Electron Devices Meeting 28.5.1–28.5.4 (IEEE, 2017).

  50. Slesazeck, S. et al. Embedding hafnium oxide based FeFETs in the memory landscape. In 2018 International Conference on IC Design & Technology 121–124 (IEEE, 2018).

  51. Luo, Q. et al. A highly CMOS compatible hafnia-based ferroelectric diode. Nat. Commun. 11, 1391 (2020).

    Article  CAS  Google Scholar 

  52. Beyer, S. et al. FeFET: a versatile CMOS compatible device with game-changing potential. In 2020 IEEE International Memory Workshop 1–4 (IEEE, 2020).

  53. Mo, F. et al. Scalability study on ferroelectric-HfO2 tunnel junction memory based on non-equilibrium Green function method. In 2019 19th Non-Volatile Memory Technology Symposium 1–5 (IEEE, 2019).

  54. Francois, T. et al. Demonstration of BEOL-compatible ferroelectric Hf0.5Zr0.5O2 scaled FeRAM co-integrated with 130nm CMOS for embedded NVM applications. In 2019 IEEE International Electron Devices Meeting 15.7.1–15.7.4 (IEEE, 2019).

  55. Chang, S.-C. et al. Anti-ferroelectric HfxZr1-xO2 Capacitors for High-density 3-D Embedded-DRAM. In 2020 IEEE International Electron Devices Meeting 28.21.21–28.21.24 (IEEE, 2020).

  56. Yoon, S.-J. et al. Improvement in long-term and high-temperature retention stability of ferroelectric field-effect memory transistors with metal–ferroelectric–metal–insulator–semiconductor gate-stacks using Al-doped HfO2 thin films. IEEE Trans. Electron Dev. 67, 499–504 (2020).

    Article  CAS  Google Scholar 

  57. Bouaziz, J., Rojo Romeo, P., Baboux, N. & Vilquin, B. Imprint issue during retention tests for HfO2-based FRAM: an industrial challenge? Appl. Phys. Lett. 118, 082901 (2021).

  58. Wu, J. et al. Monolithic integration of oxide semiconductor FET and ferroelectric capacitor enabled by Sn-doped InGaZnO for 3-D embedded RAM application. IEEE Trans. Electron Dev. 68, 6617–6622 (2021).

    Article  CAS  Google Scholar 

  59. Sunbul, A. et al. Optimizing ferroelectric and interface layers in HZO-based FTJs for neuromorphic applications. IEEE Trans. Electron Dev. 69, 808–815 (2022).

    Article  Google Scholar 

  60. Mittmann, T. et al. Impact of Oxygen Vacancy Content in Ferroelectric HZO films on the Device Performance. In 2020 IEEE International Electron Devices Meeting 18.4.1–18.4.4 (IEEE, 2020).

  61. Lederer, M. et al. Local crystallographic phase detection and texture mapping in ferroelectric Zr doped HfO2 films by transmission-EBSD. Appl. Phys. Lett. 115, 222902 (2019).

  62. Dragoman, M. et al. Ferroelectrics at the nanoscale: materials and devices—a critical review. Crit. Rev. Solid State Mater. Sci. https://doi.org/10.1080/10408436.2022.2083579 (2022).

  63. Ali, T. et al. High endurance ferroelectric hafnium oxide-based FeFET memory without retention penalty. IEEE Trans. Electron Dev. 65, 3769–3774 (2018).

    Article  CAS  Google Scholar 

  64. Muller, J. et al. Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG. In 2012 Symposium on VLSI Technology 25–26 (IEEE, 2012).

  65. Tan, Q. et al. Single-walled carbon nanotube dominated micron-wide stripe patterned-based ferroelectric field-effect transistors with HfO2 defect control layer. Nanoscale Res. Lett. 13, 127 (2018).

    Article  Google Scholar 

  66. Xiao, W. et al. Memory window and endurance improvement of Hf0.5Zr0.5O2-based FeFETs with ZrO2 seed layers characterized by fast voltage pulse measurements. Nanoscale Res. Lett. 14, 254 (2019).

    Article  Google Scholar 

  67. Cheema, S. S. et al. Ultrathin ferroic HfO2–ZrO2 superlattice gate stack for advanced transistors. Nature 604, 65–71 (2022).

    Article  CAS  Google Scholar 

  68. Skopin, E. V., Guillaume, N., Alrifai, L., Gonon, P. & Bsiesy, A. Sub-10-nm ferroelectric Gd-doped HfO2 layers. Appl. Phys. Lett. 120, 172901 (2022).

  69. Wang, C.-I. et al. Atomic layer deposited TiN capping layer for sub-10 nm ferroelectric Hf0.5Zr0.5O2 with large remnant polarization and low thermal budget. Appl. Surf. Sci. 570, 151152 (2021).

  70. Tian, X. et al. Sub-nm EOT ferroelectric HfO2 on p+Ge with highly reliable field cycling properties. In 2017 IEEE International Electron Devices Meeting 37.1.1–37.1.4 (IEEE, 2018).

  71. Okuno, J. et al. High-Endurance and Low-Voltage operation of 1T1C FeRAM Arrays for Nonvolatile Memory Application. In 2021 IEEE International Memory Workshop 1–3 (IEEE, 2021).

  72. Breyer, E. T. et al. Compact FeFET circuit building blocks for fast and efficient nonvolatile logic-in-memory. IEEE J. Electron Dev. Soc. 8, 748–756 (2020).

    Article  CAS  Google Scholar 

  73. Saitoh, M. et al. HfO2-based FeFET and FTJ for Ferroelectric-Memory Centric 3D LSI towards Low-Power and High-Density Storage and AI Applications. In 2020 IEEE International Electron Devices Meeting 18.1.1–18.1.4 (IEEE, 2020).

  74. Grenouillet, L. et al. Performance assessment of BEOL-integrated HfO2-based ferroelectric capacitors for FeRAM memory arrays. In 2020 IEEE Silicon Nanoelectronics Workshop 5–6 (IEEE, 2020).

  75. Mulaosmanovic, H. et al. Ferroelectric field-effect transistors based on HfO2: a review. Nanotechnology 32, 502002 (2021).

  76. Mikolajick, T. et al. Next generation ferroelectric materials for semiconductor process integration and their applications. J. Appl. Phys. 129, 100901 (2021).

  77. Yoo, Y. W. et al. Structure and electrical properties of Al-doped HfO2 and ZrO2 films grown via atomic layer deposition on Mo electrodes. ACS Appl. Mater. Interf. 6, 22474–22482 (2014).

    Article  CAS  Google Scholar 

  78. Perevalov, T. V., Gutakovskii, A. K., Kruchinin, V. N., Gritsenko, V. A. & Prosvirin, I. P. Atomic and electronic structure of ferroelectric La-doped HfO2 films. Mater. Res. Express 6, 036403 (2018).

  79. Lomenzo, P. D. et al. The effects of layering in ferroelectric Si-doped HfO2 thin films. Appl. Phys. Lett. 105, 072906 (2014).

  80. Lomenzo, P. D. et al. Ferroelectric phenomena in Si-doped HfO2 thin films with TiN and Ir electrodes. J. Vacuum Sci. Technol. B 32, 03D123 (2014).

  81. Mart, C., Kühnel, K., Kämpfe, T., Zybell, S. & Weinreich, W. Ferroelectric and pyroelectric properties of polycrystalline La-doped HfO2 thin films. Appl. Phys. Lett. 114, 102903 (2019).

  82. Mueller, S. et al. Incipient ferroelectricity in Al-doped HfO2 thin films. Adv. Funct. Mater. 22, 2412–2417 (2012).

    Article  CAS  Google Scholar 

  83. Hur, J., Luo, Y.-C., Tasneem, N., Khan, A. I. & Yu, S. Ferroelectric hafnium zirconium oxide compatible with back-end-of-line process. IEEE Trans. Electron Dev. 68, 3176–3180 (2021).

    Article  CAS  Google Scholar 

  84. Lehninger, D. et al. Back‐end‐of‐line compatible low‐temperature furnace anneal for ferroelectric hafnium zirconium oxide formation. Phys. Status Solidi A 217, 1900840 (2020).

  85. Jiang, H. et al. A study of BEOL processed Hf0.5Zr0.5O2-based ferroelectric capacitors and their potential for automotive applications. In 2020 IEEE International Memory Workshop 1–4 (IEEE, 2020).

  86. Park, J. Y. et al. A perspective on semiconductor devices based on fluorite-structured ferroelectrics from the materials–device integration perspective. J. Appl. Phys. 128, 240904 (2020).

  87. Chen, H. et al. HfO2-based ferroelectrics: from enhancing performance, material design, to applications. Appl. Phys. Rev. 9, 011307 (2022).

  88. Wang, W. et al. High performance AlScN thin film based surface acoustic wave devices with large electromechanical coupling coefficient. Appl. Phys. Lett. 105, 133502 (2014).

  89. Pinto, R. M. R., Gund, V., Dias, R. A., Nagaraja, K. K. & Vinayakumar, K. B. CMOS-integrated aluminum nitride MEMS: a review. J. Microelectromech. Syst. 31, 500–523 (2022).

  90. Su, J. et al. AlScN-based MEMS magnetoelectric sensor. Appl. Phys. Lett. 117, 132903 (2020).

  91. Wang, H., Adamski, N., Mu, S. & Van de Walle, C. G. Piezoelectric effect and polarization switching in Al1−xScxN. J. Appl. Phys. 130, 104101 (2021).

  92. Fichtner, S. et al. Ferroelectricity in AlScN: switching, imprint and sub-150 nm films. In 2020 Joint Conference of the IEEE International Frequency Control Symposium and International Symposium on Applications of Ferroelectrics 1–4 (IEEE, 2020).

  93. Tsai, S.-L. et al. Room-temperature deposition of a poling-free ferroelectric AlScN film by reactive sputtering. Appl. Phys. Lett. 118, 082902 (2021).

  94. Deng, S. et al. Overview of Ferroelectric Memory Devices and Reliability Aware Design Optimization. In Proc. 2021 Great Lakes Symposium on VLSI pp. 473–478 (ACM, 2021).

  95. Mikolajick, T., Schroeder, U. & Slesazeck, S. The Case for Ferroelectrics in Future Memory Devices. In 2021 5th IEEE Electron Devices Technology & Manufacturing Conference 1–3 (IEEE, 2021).

  96. Chen, L. et al. Bipolar and Unipolar Cycling Behavior in Ferroelectric Scandium-doped Aluminum Nitride. In 2022 IEEE International Symposium on Applications of Ferroelectrics 1-3 (IEEE, 2022).

  97. Yazawa, K., Drury, D., Zakutayev, A. & Brennecka, G. L. Reduced coercive field in epitaxial thin film of ferroelectric wurtzite Al0.7Sc0.3N. Appl. Phys. Lett. 118, 162903 (2021).

  98. Gund, V. et al. Towards Realizing the Low-Coercive Field Operation of Sputtered Ferroelectric ScxAl1-xN. In 2021 21st International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers) 1064–1067 (2021).

  99. Talley, K. R. et al. Implications of heterostructural alloying for enhanced piezoelectric performance of (Al,Sc)N. Phys. Rev. Mater. 2, 063802 (2018).

  100. Moriwake, H. et al. A computational search for wurtzite-structured ferroelectrics with low coercive voltages. APL Mater. 8, 121102 (2020).

  101. Yazawa, K., Zakutayev, A. & Brennecka, G. L. A Landau–Devonshire analysis of strain effects on ferroelectric Al1−xScxN. Appl. Phys. Lett. 121, 042902 (2022).

  102. Yasuoka, S. et al. Effects of deposition conditions on the ferroelectric properties of (Al1−xScx)N thin films. J. Appl. Phys. 128, 114103 (2020).

  103. Mizutani, R. et al. Thickness scaling of (Al0.8Sc0.2)N films with remanent polarization beyond 100 μC cm−2 around 10 nm in thickness. Appl. Phys. Express 14, 105501 (2021).

  104. Tsai, S.-L. et al. On the thickness scaling of ferroelectricity in Al0.78Sc0.22N films. Jpn. J. Appl. Phys. 60, SBBA05 (2021).

  105. Yasuoka, S. et al. Enhancement of crystal anisotropy and ferroelectricity by decreasing thickness in (Al,Sc)N films. J. Ceram. Soc. Jpn 130, 436–441 (2022).

    Article  CAS  Google Scholar 

  106. Ryoo, S. K. et al. Investigation of optimum deposition conditions of radio frequency reactive magnetron sputtering of Al0.7Sc0.3N film with thickness down to 20 nm. Adv. Electron. Mater. 8, 2200726 (2022).

  107. Yassine, M. et al. Influence of structural properties on the ferroelectric behavior of hexagonal AlScN. J. Appl. Phys. 132, 114101 (2022).

  108. Sun, D. M. et al. Flexible high-performance carbon nanotube integrated circuits. Nat. Nanotechnol. 6, 156–161 (2011).

    Article  CAS  Google Scholar 

  109. Myny, K. The development of flexible integrated circuits based on thin-film transistors. Nat. Electron. 1, 30–39 (2018).

    Article  CAS  Google Scholar 

  110. Liu, X. et al. Aluminum scandium nitride-based metal–ferroelectric–metal diode memory devices with high on/off ratios. Appl. Phys. Lett. 118, 202901 (2021).

  111. Wang, P. et al. Fully epitaxial ferroelectric ScAlN grown by molecular beam epitaxy. Appl. Phys. Lett. 118, 223504 (2021).

  112. Shibukawa, R. et al. Influence of sputtering power on the switching and reliability of ferroelectric Al0.7Sc0.3N films. Jpn. J. Appl. Phys. 61, SH1003 (2022).

  113. Tsai, S. et al. Electrical characterization of sputter deposited AlxSc1-XN thin films. ECS Trans. 97, 45 (2020).

    Article  CAS  Google Scholar 

  114. Tsai, S. et al. Highly oriented growth of AlxSc1-X N ferroelectric film on W bottom electrodes. ECS Trans. 98, 57 (2020).

    Article  CAS  Google Scholar 

  115. Casamento, J. et al. Ferroelectricity in polar ScAlN-GaN epitaxial semiconductor heterostructures. Preprint at https://arxiv.org/abs/2105.10114 (2021).

  116. Ligl, J. et al. Metalorganic chemical vapor phase deposition of AlScN/GaN heterostructures. J. Appl. Phys. 127, 195704 (2020).

  117. Wang, D. et al. Impact of dislocation density on the ferroelectric properties of ScAlN grown by molecular beam epitaxy. Appl. Phys. Lett. 121, 042108 (2022).

  118. Lin, B.-T., Lee, W.-H., Shieh, J., Chen, M.-J. & Naguib, H. E. Ferroelectric AlN ultrathin films prepared by atomic layer epitaxy. in Behavior and Mechanics of Multifunctional Materials XIII 287–293 (SPIE, 2019).

  119. Zhu, W. et al. Strongly temperature dependent ferroelectric switching in AlN, Al1-xScxN, and Al1-xBxN thin films. Appl. Phys. Lett. 119, 062901 (2021).

  120. Hayden, J. et al. Ferroelectricity in boron-substituted aluminum nitride thin films. Phys. Rev. Mater. 5, 044412 (2021).

  121. Yasuda, K. et al. Stacking-engineered ferroelectricity in bilayer boron nitride. Science 372, 1458–1462 (2021).

    Article  CAS  Google Scholar 

  122. Wang, D., Wang, P., Wang, B. & Mi, Z. Fully epitaxial ferroelectric ScGaN grown on GaN by molecular beam epitaxy. Appl. Phys. Lett. 119, 111902 (2021).

  123. Uehara, M. et al. Demonstration of ferroelectricity in ScGaN thin film using sputtering method. Appl. Phys. Lett. 119, 172901 (2021).

  124. Uehara, M. et al. Lower ferroelectric coercive field of ScGaN with equivalent remanent polarization as ScAlN. Appl. Phys. Express 15, 081003 (2022).

  125. Zhu, W. et al. Wake‐up in Al1−xBxN ferroelectric films. Adv. Electron. Mater. https://doi.org/10.1002/aelm.202100931 (2021).

  126. Islam, M. R. et al. On the exceptional temperature stability of ferroelectric Al1-xScxN thin films. Appl. Phys. Lett. 118, 232905 (2021).

  127. Wang, D. et al. An epitaxial ferroelectric ScAlN/GaN heterostructure memory. Adv. Electron. Mater. https://doi.org/10.1002/aelm.202200005 (2022).

  128. Wolff, N. et al. Al1-xScxN thin films at high temperatures: Sc-dependent instability and anomalous thermal expansion. Micromachines 13, 1282 (2022).

  129. Jin, E. N. et al. Band alignment of ScxAl1-xN/GaN heterojunctions. ACS Appl. Mater. Interf. 12, 52192–52200 (2020).

    Article  CAS  Google Scholar 

  130. Wang, P. et al. Quaternary alloy ScAlGaN: a promising strategy to improve the quality of ScAlN. Appl. Phys. Lett. 120, 012104 (2022).

  131. Green, A. J. et al. RF power performance of Sc(Al,Ga)N/GaN HEMTs at Ka-band. IEEE Electron Device Lett. 41, 1181–1184 (2020).

    Article  CAS  Google Scholar 

  132. Ferri, K. et al. Ferroelectrics everywhere: ferroelectricity in magnesium substituted zinc oxide thin films. J. Appl. Phys. 130, 044101 (2021).

  133. Sawada, S. et al. A ferroelectric type of hysteresis loop observed in BeO. J. Phys. Soc. Jpn 35, 946 (1973).

  134. Liu, C. et al. Multiscale Modeling of Al0.7Sc0.3N-based FeRAM: the Steep Switching, Leakage and Selector-free Array. In 2021 IEEE International Electron Devices Meeting 8.1.1–8.1.4 (IEEE, 2021).

  135. Kataoka, J. et al. A possible origin of the large leakage current in ferroelectric Al1−xScxN films. Jpn. J. Appl. Phys. 60, 030907 (2021).

  136. Tsai, S.-L. et al. Field cycling behavior and breakdown mechanism of ferroelectric Al0.78Sc0.22N films. Jpn. J. Appl. Phys. 61, SJ1005 (2022).

  137. Kataoka, J. et al. N-type conduction of sputter-deposited polycrystalline Al0.78Sc0.22N films by Si ion implantation. Appl. Phys. Express 14, 021002 (2021).

  138. Si, M. et al. A ferroelectric semiconductor field-effect transistor. Nat. Electron. 2, 580–586 (2019).

    Article  CAS  Google Scholar 

  139. Drury, D., Yazawa, K., Zakutayev, A., Hanrahan, B. & Brennecka, G. High-temperature ferroelectric behavior of Al0.7Sc0.3N. Micromachines 13, 887 (2022).

  140. Lyu, X. et al. First direct measurement of sub-nanosecond polarization switching in ferroelectric hafnium zirconium oxide. In 2019 IEEE International Electron Devices Meeting 15.2.1–15.2.4 (IEEE, 2019).

  141. Yoo, H. K. et al. Engineering of ferroelectric switching speed in Si doped HfO2 for high-speed 1T-FERAM application. In 2017 IEEE International Electron Devices Meeting 19.6.1–19.6.4 (IEEE, 2017).

  142. Okuno, J. et al. SoC compatible 1T1C FeRAM memory array based on ferroelectric Hf0.5Zr0.5O2. In 2020 IEEE Symposium on VLSI Technology 1–2 (IEEE, 2020).

  143. Chang, S. C. et al. Anti-ferroelectric HfxZr1-xO2 Capacitors for High-density 3-D Embedded-DRAM. In 2020 IEEE International Electron Devices Meeting 28.1.1–28.1.4 (IEEE, 2020).

  144. Chang, S. C. et al. FeRAM using anti-ferroelectric capacitors for high-speed and high-density embedded memory. In 2021 IEEE International Electron Devices Meeting 33.2.1–33.2.4 (IEEE, 2021).

  145. Schenk, T., Pesic, M., Slesazeck, S., Schroeder, U. & Mikolajick, T. Memory technology-a primer for material scientists. Rep. Prog. Phys. 83, 086501 (2020).

    Article  CAS  Google Scholar 

  146. Chernikova, A. G. et al. Improved ferroelectric switching endurance of La-doped Hf0.5Zr0.5O2 thin films. ACS Appl. Mater. Interf. 10, 2701–2708 (2018).

    Article  CAS  Google Scholar 

  147. Ni, K. et al. Critical role of interlayer in Hf0.5Zr0.5O2 ferroelectric FET nonvolatile memory performance. IEEE Trans. Electron Dev. 65, 2461–2469 (2018).

    Article  CAS  Google Scholar 

  148. Ali, T. et al. Impact of ferroelectric wakeup on reliability of laminate based Si-doped hafnium oxide (HSO) FeFET memory cells. In 2020 IEEE International Reliability Physics Symposium 1–9 (IEEE, 2020).

  149. Tian, F. et al. Impact of interlayer and ferroelectric materials on charge trapping during endurance fatigue of FeFET With TiN/HfxZr1-xO2/interlayer/Si (MFIS) gate structure. IEEE Trans. Electron Dev. 68, 5872–5878 (2021).

    Article  CAS  Google Scholar 

  150. Takahashi, M. & Sakai, S. Area-scalable 109-cycle-high-endurance FeFET of strontium bismuth tantalate using a dummy-gate process. Nanomaterials 11, 101 (2021).

  151. Sakai, S. & Takahashi, M. Recent progress of ferroelectric-gate field-effect transistors and applications to nonvolatile logic and FeNAND flash memory. Mater. 3, 4950–4964 (2010).

    Article  CAS  Google Scholar 

  152. Khosla, R. & Sharma, S. K. Integration of ferroelectric materials: an ultimate solution for next-generation computing and storage devices. ACS Appl. Electron. Mater. 3, 2862–2897 (2021).

    Article  CAS  Google Scholar 

  153. Aswathy, N. & Sivamangai, N. M. Future Nonvolatile Memory Technologies: Challenges and Applications. 2021 2nd International Conference on Advances in Computing, Communication, Embedded and Secure Systems (ACCESS) 308–312 (IEEE, 2021).

  154. Bae, H. et al. Sub-ns Polarization Switching in 25nm FE FinFET toward Post CPU and Spatial-Energetic Mapping of Traps for Enhanced Endurance. In 2020 IEEE International Electron Devices Meeting 31.3.1–31.3.4 (IEEE, 2020).

  155. Dunkel, S. et al. A FeFET based super-low-power ultra-fast embedded NVM technology for 22nm FDSOI and beyond. In 2017 IEEE International Electron Devices Meeting 19.7.1–19.7.4 (IEEE, 2017).

  156. Yurchuk, E. et al. Charge-trapping phenomena in HfO2-based FeFET-type nonvolatile memories. IEEE Trans. Electron Dev. 63, 3501–3507 (2016).

    Article  CAS  Google Scholar 

  157. Breyer, E. T. et al. Reconfigurable NAND/NOR logic gates in 28 nm HKMG and 22 nm FD-SOI FeFET technology. In 2017 IEEE International Electron Devices Meeting 28.5.1–28.5.4 (IEEE, 2017).

  158. Reis, D. et al. Design and analysis of an ultra-dense, low-leakage, and fast FeFET-based random access memory array. IEEE J. Explor. Solid-State Comput. Dev. Circ. 5, 103–112 (2019).

    Google Scholar 

  159. George, S. et al. Integrated CAM-RAM functionality using ferroelectric FETs. In 2020 21st International Symposium on Quality Electronic Design 81–86 (IEEE, 2020).

  160. Kim, K. et al. Scalable CMOS-BEOL compatible AlScN-2D channel FE-FETs. Preprint at https://arxiv.org/abs/2201.02153 (2022).

  161. Toprasertpong, K., Takenaka, M. & Takagi, S. On the strong coupling of polarization and charge trapping in HfO2/Si-based ferroelectric field-effect transistors: overview of device operation and reliability. Appl. Phys. A 128, 1114 (2022).

  162. Radisavljevic, B. & Kis, A. Mobility engineering and a metal-insulator transition in monolayer MoS2. Nat. Mater. 12, 815–820 (2013).

    Article  CAS  Google Scholar 

  163. Zhang, Y., Ye, J., Matsuhashi, Y. & Iwasa, Y. Ambipolar MoS2 thin flake transistors. Nano Lett. 12, 1136–1140 (2012).

    Article  CAS  Google Scholar 

  164. Park, W. et al. Oxygen environmental and passivation effects on molybdenum disulfide field effect transistors. Nanotechnology 24, 095202 (2013).

    Article  Google Scholar 

  165. Siao, M. D. et al. Two-dimensional electronic transport and surface electron accumulation in MoS2. Nat. Commun. 9, 1442 (2018).

    Article  CAS  Google Scholar 

  166. Tan, A. J. et al. Ferroelectric HfO2 memory transistors with high-κ interfacial layer and write endurance exceeding 1010 cycles. IEEE Electron Dev. Lett. 42, 994–997 (2021).

    Article  CAS  Google Scholar 

  167. Sharma, A. A. et al. High Speed Memory Operation in Channel-Last, Back-gated Ferroelectric Transistors. In 2020 IEEE International Electron Devices Meeting 18.5.1–18.5.4 (IEEE, 2020).

  168. Khanna, A. et al. BEOL Compatible Ferroelectric Routers for Run-time Reconfigurable Compute-in-Memory Accelerators. In 2022 IEEE Symposium on VLSI Technology and Circuits 240–241 (IEEE, 2022).

  169. Zheng, J. X. et al. Electrical breakdown strength enhancement in aluminum scandium nitride through a compositionally modulated periodic multilayer structure. J. Appl. Phys. 130, 144101 (2021).

  170. Gong, N. & Ma, T.-P. Why is FE–HfO2 more suitable than PZT or SBT for scaled nonvolatile 1-T memory cell? A retention perspective. IEEE Electron Dev. Lett. 37, 1123–1126 (2016).

    Article  CAS  Google Scholar 

  171. Li, C. et al. A Scalable Design of Multi-Bit Ferroelectric Content Addressable Memory for Data-Centric Computing. In 2020 IEEE International Electron Devices Meeting 29.3.1–29.3.4 (IEEE, 2020).

  172. Mulaosmanovic, H. et al. Ferroelectric transistors with asymmetric double gate for memory window exceeding 12 V and disturb-free read. Nanoscale 13, 16258–16266 (2021).

    Article  CAS  Google Scholar 

  173. Kim, I.-J., Kim, M.-K. & Lee, J.-S. Vertical ferroelectric thin-film transistor array with a 10-nm gate length for high-density three-dimensional memory applications. Appl. Phys. Lett. 121, 042901 (2022).

  174. Luo, X. et al. Tunable tunneling electroresistance in ferroelectric tunnel junctions by mechanical loads. ACS Nano 5, 1649–1656 (2011).

    Article  CAS  Google Scholar 

  175. Yoon, J., Hong, S., Song, Y. W., Ahn, J.-H. & Ahn, S.-E. Understanding tunneling electroresistance effect through potential profile in Pt/Hf0.5Zr0.5O2/TiN ferroelectric tunnel junction memory. Appl. Phys. Lett. 115, 153502 (2019).

  176. Liu, X. et al. Reconfigurable compute-in-memory on field-programmable ferroelectric diodes. Nano Lett. 22, 7690–7698 (2022).

    Article  CAS  Google Scholar 

  177. Klyukin, K., Tao, L. L., Tsymbal, E. Y. & Alexandrov, V. Defect-assisted tunneling electroresistance in ferroelectric tunnel junctions. Phys. Rev. Lett. 121, 056601 (2018).

    Article  CAS  Google Scholar 

  178. Abuwasib, M. et al. CMOS compatible integrated ferroelectric tunnel junctions (FTJ). In 2015 73rd Annual Device Research Conference 45–46 (IEEE, 2015).

  179. Goh, Y. & Jeon, S. The effect of the bottom electrode on ferroelectric tunnel junctions based on CMOS-compatible HfO2. Nanotechnology 29, 335201 (2018).

    Article  Google Scholar 

  180. Hwang, J., Goh, Y. & Jeon, S. Effect of forming gas high-pressure annealing on metal-ferroelectric-semiconductor hafnia ferroelectric tunnel junction. IEEE Electron Dev. Lett. 41, 1193–1196 (2020).

    Article  CAS  Google Scholar 

  181. Dong, Z., Cao, X., Wu, T. & Guo, J. Tunneling current in HfO2 and Hf0.5Zr0.5O2-based ferroelectric tunnel junction. J. Appl. Phys. 123, 094501 (2018).

  182. Shekhawat, A. et al. Data retention and low voltage operation of Al2O3/Hf0.5Zr0.5O2 based ferroelectric tunnel junctions. Nanotechnology 31, 39LT01 (2020).

    Article  CAS  Google Scholar 

  183. Kobayashi, M., Tagawa, Y., Mo, F., Saraya, T. & Hiramoto, T. Ferroelectric HfO2 tunnel junction memory with high TER and multi-level operation featuring metal replacement process. IEEE J. Electron Dev. Soc. 7, 134–139 (2019).

    Article  CAS  Google Scholar 

  184. Athle, R., Persson, A. E. O., Troian, A. & Borg, M. Top electrode engineering for freedom in design and implementation of ferroelectric tunnel junctions based on Hf1–xZrxO2. ACS Appl. Electron. Mater. 4, 1002–1009 (2022).

    Article  CAS  Google Scholar 

  185. Chiang H. L. Interfacial-layer design for Hf1-xZrxO2-based FTJ devices: from atom to array. In 2022 IEEE Symposium on VLSI Technology and Circuits 361–362 (IEEE, 2022).

  186. Du, X. et al. High-speed switching and giant electroresistance in an epitaxial Hf0.5Zr0.5O2-based ferroelectric tunnel junction memristor. ACS Appl. Mater. Interf. 14, 1355–1361 (2022).

    Article  CAS  Google Scholar 

  187. Cheema, S. S. et al. Enhanced ferroelectricity in ultrathin films grown directly on silicon. Nature 580, 478–482 (2020).

    Article  CAS  Google Scholar 

  188. Prasad, B. et al. Large tunnel electroresistance with ultrathin Hf0.5Zr0.5O2 ferroelectric tunnel barriers. Adv. Electron. Mater. 7, 2001074 (2021).

  189. Goh, Y., Hwang, J., Lee, Y., Kim, M. & Jeon, S. Ultra-thin Hf0.5Zr0.5O2 thin-film-based ferroelectric tunnel junction via stress induced crystallization. Appl. Phys. Lett. 117, 242901 (2020).

  190. Luo, Y.-C., Hur, J. & Yu, S. Ferroelectric tunnel junction based crossbar array design for neuro-inspired computing. IEEE Trans. Nanotechnol. 20, 243–247 (2021).

    Article  CAS  Google Scholar 

  191. Goh, Y. et al. Selector-less ferroelectric tunnel junctions by stress engineering and an imprinting effect for high-density cross-point synapse arrays. ACS Appl. Mater. Interf. 13, 59422–59430 (2021).

    Article  CAS  Google Scholar 

  192. Yang, R. In-memory computing with ferroelectrics. Nat. Electron. 3, 237–238 (2020).

    Article  Google Scholar 

  193. Xi, Z., Zheng, C. & Wen, Z. Nondestructive readout complementary resistive switches based on ferroelectric tunnel junctions. ACS Appl. Mater. Interf. 10, 6024–6030 (2018).

    Article  CAS  Google Scholar 

  194. Lou, X. J., Zhang, M., Redfern, S. A. & Scott, J. F. Local phase decomposition as a cause of polarization fatigue in ferroelectric thin films. Phys. Rev. Lett. 97, 177601 (2006).

    Article  CAS  Google Scholar 

  195. Lou, X. J., Zhang, M., Redfern, S. A. T. & Scott, J. F. Fatigue as a local phase decomposition: a switching-induced charge-injection model. Phys. Rev. B 75, 224104 (2007).

  196. Pan, X. & Ma, T. P. Retention mechanism study of the ferroelectric field effect transistor. Appl. Phys. Lett. 99, 013505 (2011).

  197. Wolff, N. et al. Atomic scale confirmation of ferroelectric polarization inversion in wurtzite-type AlScN. J. Appl. Phys. 129, 034103 (2021).

  198. Covi, E. et al. Ferroelectric Tunneling Junctions for Edge Computing. In 2021 IEEE International Symposium on Circuits and Systems 1–5 (IEEE, 2021).

  199. Ota, K. et al. Performance maximization of in-memory reinforcement learning with variability-controlled Hf1-xZrxO2 ferroelectric tunnel junctions. In 2019 IEEE International Electron Devices Meeting 6.2.1–6.2.4 (IEEE, 2019).

  200. Goh, Y., Hwang, J. & Jeon, S. Excellent reliability and high-speed antiferroelectric HfZrO2 tunnel junction by a high-pressure annealing process and built-in bias engineering. ACS Appl. Mater. Interf. 12, 57539–57546 (2020).

    Article  CAS  Google Scholar 

  201. Jao, N., Xiao, Y., Saha, A. K., Gupta, S. K. & Narayanan, V. Design space exploration of ferroelectric tunnel junction toward crossbar memories. IEEE J. Explor. Solid-State Comput. Dev. Circ. 7, 115–122 (2021).

    Google Scholar 

  202. Mulaosmanovic, H., Breyer, E. T., Mikolajick, T. & Slesazeck, S. Ferroelectric FETs with 20-nm-thick HfO2 layer for large memory window and high performance. IEEE Trans. Electron Dev. 66, 3828–3833 (2019).

    Article  CAS  Google Scholar 

  203. Jiang, A. Q. et al. A resistive memory in semiconducting BiFeO3 thin-film capacitors. Adv. Mater. 23, 1277–1281 (2011).

    Article  CAS  Google Scholar 

  204. Goh, Y. et al. High Performance and Self-rectifying Hafnia-based Ferroelectric Tunnel Junction for Neuromorphic Computing and TCAM Applications. In 2021 IEEE International Electron Devices Meeting 17.2.1–17.2.4 (IEEE, 2021).

  205. Bae, H. et al. Ferroelectric diodes with sub-ns and sub-fJ switching and its programmable network for logic-in-memory applications. In 2021 Symposium on VLSI Technology 1–2 (VLSI, 2021).

  206. Spadini, G et al. Future high density memories for computing applications: device behavior and modeling challenges. In 2010 International Conference on Simulation of Semiconductor Processes and Devices 223–226 (IEEE, 2010).

  207. Zidan, M. A., Strachan, J. P. & Lu, W. D. The future of electronics based on memristive systems. Nat. Electron. 1, 22–29 (2018).

    Article  Google Scholar 

  208. Xue, C.-X. et al. A CMOS-integrated compute-in-memory macro based on resistive random-access memory for AI edge devices. Nat. Electron. 4, 81–90 (2020).

    Article  Google Scholar 

  209. Lanza, M. et al. Memristive technologies for data storage, computation, encryption, and radio-frequency communication. Science 376, eabj9979 (2022).

    Article  CAS  Google Scholar 

  210. Golonzka, O. et al. Non-volatile RRAM embedded into 22FFL FinFET technology. In 2019 Symposium on VLSI Technology T230–T231 (IEEE, 2019).

  211. Ou, Q. F. et al. In-memory logic operations and neuromorphic computing in non-volatile random access memory. Materials 13, 3532 (2020).

  212. Fey, D., Reuben, J. & Slesazeck, S. Comparative study of usefulness of FeFET, FTJ and ReRAM technology for ternary arithmetic. In 2021 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS) 1–6 (IEEE, 2021).

  213. Reis, D., Niemier, M. & Hu, X. S. Computing in memory with FeFETs. In Proc. International Symposium on Low Power Electronics and Design 1–6 (ACM, 2018).

  214. Thirumala, S. K. et al. Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for Intermittently-Powered Systems. In Proc. International Symposium on Low Power Electronics and Design (ISLPED) 1–6 (ACM, 2018).

  215. Thirumala S. K. et al. Non-volatile memory utilizing reconfigurable ferroelectric transistors to enable differential read and energy-efficient in-memory computation. In 2019 IEEE/ACM International Symposium on Low Power Electronics and Design 1–6 (IEEE, 2019).

  216. Lee, M. et al. FeFET-based low-power bitwise logic-in-memory with direct write-back and data-adaptive dynamic sensing interface. In Proc. ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED) 127–132 (ACM, 2020).

  217. Reis, D., Laguna, A. F., Niemier, M. & Hu, X. S. Attention-in-Memory for Few-Shot Learning with Configurable Ferroelectric FET Arrays. In Proc. 26th Asia and South Pacific Design Automation Conference (ASPDAC) 49–54 (ACM, 2021).

  218. Hu, X. S., Niemier, M. & Reis, D. The implications of ferroelectric FET device models to the design of computing-in-memory architectures. J. Integr. Circ. Syst. 16, 1–8 (2021).

    Google Scholar 

  219. Luo, Z. D. et al. Dual-ferroelectric-coupling-engineered two-dimensional transistors for multifunctional in-memory computing. ACS Nano 16, 3362–3372 (2022).

    Article  CAS  Google Scholar 

  220. Liu, R., Zhang, X., Chen, X., Han, Y. & Tang, M. eMIC: Multi-Operands in-Memory Computing Based on FeFETs. In 2022 27th Asia and South Pacific Design Automation Conference 678–683 (ASP-DAC, 2022).

  221. Li, X. et al. Advancing nonvolatile computing with nonvolatile NCFET latches and flip-flops. IEEE Trans. Circ. Syst. I 64, 2907–2919 (2017).

    Google Scholar 

  222. George, S. et al. Device Circuit Co Design of FEFET Based Logic for Low Voltage Processors. In 2016 IEEE Computer Society Annual Symposium on VLSI (ISVLSI) 649–654 (IEEE, 2016).

  223. Yin, X. et al. Exploiting ferroelectric FETs for low-power non-volatile logic-in-memory circuits. In Proc. 35th International Conference on Computer-Aided Design (ICCAD) 1–8 (IEEE, 2016).

  224. Wang, D. et al. Ferroelectric Transistor based Non-Volatile Flip-Flop. In Proc. 2016 International Symposium on Low Power Electronics and Design (ISLPED) 10–15 (ACM, 2016).

  225. Yin, X., Chen, X., Niemier, M. & Hu, X. S. Ferroelectric FETs-based nonvolatile logic-in-memory circuits. IEEE Trans. VLSI Syst. 27, 159–172 (2019).

    Article  Google Scholar 

  226. Wang, Z. et al. Nonvolatile Boolean logic block based on ferroelectric tunnel memristor. IEEE Trans. Magn. 50, 9100604 (2014).

    Article  Google Scholar 

  227. Luo, Q. et al. Complementary memory cell based on field-programmable ferroelectric diode for ultra-low power current-SA free BNN applications. In 2019 IEEE International Electron Devices Meeting 38.5.1–38.5.4 (IEEE, 2019).

  228. Kao, R.-W., Peng, H.-K., Chen, K.-Y. & Wu, Y.-H. HfZrOx-based switchable diode for logic-in-memory applications. IEEE Trans. Electron Dev. 68, 545–549 (2021).

    Article  CAS  Google Scholar 

  229. Luo, Y. et al. A ferroelectric-based volatile/non-volatile dual-mode buffer memory for deep neural network accelerators. IEEE Trans. Comput. 71, 2088–2101 (2022).

    Google Scholar 

  230. Aabrar, K. A. et al. A thousand state superlattice (SL) FEFET analog weight cell. In 2022 IEEE Symposium on VLSI Technology and Circuits 242–243 (IEEE, 2022).

  231. Wu, T.-Y. et al. Sub-nA low-current HZO ferroelectric tunnel junction for high-performance and accurate deep learning acceleration. In 2019 IEEE International Electron Devices Meeting 6.3.1–6.3.4 (IEEE, 2019).

  232. Fontanini, R. et al. Modeling and design of FTJs as multi-level low energy memristors for neuromorphic computing. IEEE J. Electron Dev. Soc. 9, 1202–1209 (2021).

    Article  CAS  Google Scholar 

  233. Seufert, L., Hassanpour, M., Gkoupidenis, P. & Asadi, K. Crossbar array of artificial synapses based on ferroelectric diodes. Adv. Electron. Mater. 7, 2100558 (2021).

  234. Lu, A., Peng, X., Luo, Y., Huang, S. & Yu, S. A runtime reconfigurable design of compute-in-memory–based hardware accelerator for deep learning inference. ACM Trans. Des. Autom. Electron. Syst. 26, 1–18 (2021).

  235. Miller, N. E., Wang, Z., Dash, S., Khan, A. I. & Mukhopadhyay, S. Characterization of Drain Current Variations in FeFETs for PIM-based DNN Accelerators. In 2021 IEEE 3rd International Conference on Artificial Intelligence Circuits and Systems (AICAS) 1–4 (IEEE, 2021).

  236. Zokaee, F., Li, B. & Chen, FeFET-based Process-in-Memory Architecture for Low-Power DNN Training. In 2021 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH) 1–6 (IEEE, 2021).

  237. Liu, H. et al. Low-Hardware-Cost SNN employing FeFET-based Neurons with Tunable Leaky Effect. In 2021 IEEE Biomedical Circuits and Systems Conference (BioCAS) 1–4 (IEEE, 2021).

  238. Chen, F. PUFFIN: an efficient DNN training accelerator for direct feedback alignment in FeFET. In 2021 IEEE/ACM International Symposium on Low Power Electronics and Design 1–6 (IEEE, 2021).

  239. Soliman, T. et al. FELIX: a ferroelectric FET based low power mixed-signal in-memory architecture for DNN acceleration. ACM Trans. Embedded Comput. Syst. 21, 84 (2022).

    Article  Google Scholar 

  240. Yin, X. et al. Design and benchmarking of ferroelectric FET based TCAM. In Design, Automation & Test in Europe Conference & Exhibition 1444–1449 (IEEE, 2017).

  241. Yin, X. et al. An ultra-dense 2FeFET TCAM design based on a multi-domain FeFET model. IEEE Trans. Circ. Syst. Express Briefs 66, 1577–1581 (2019).

    Article  Google Scholar 

  242. Tan, A. J. et al. Experimental demonstration of a ferroelectric HfO2-based content addressable memory cell. IEEE Electron Dev. Lett. 41, 240–243 (2020).

    Article  CAS  Google Scholar 

  243. Rajaei, R., Sharifi, M. M., Kazemi, A., Niemier, M. & Hu, X. S. Compact single-phase-search multistate content-addressable memory design using one FeFET/cell. IEEE Trans. Electron Dev. 68, 109–117 (2021).

    Article  CAS  Google Scholar 

  244. Qian, Y. et al. Energy-aware designs of ferroelectric ternary content addressable memory. In 2021 Design, Automation & Test in Europe Conference & Exhibition 1090–1095 (IEEE, 2021).

  245. Kazemi, A. et al. In-memory nearest neighbor search with FeFET multi-bit content-addressable memories. In 2021 Design, Automation & Test in Europe Conference & Exhibition 1084–1089 (IEEE, 2021).

  246. Amrouch, H. et al. ICCAD Tutorial Session Paper Ferroelectric FET Technology and Applications: From Devices to Systems. In 2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD) 1–8 (IEEE, 2021).

  247. Chang, Y.-F. et al. Embedded emerging memory technologies for neuromorphic computing: temperature instability and reliability. In 2021 IEEE International Reliability Physics Symposium (IRPS) 1–5 (IEEE, 2021).

  248. Ghatge, M., Walters, G., Nishida, T. & Tabrizian, R. A 30-nm thick integrated hafnium zirconium oxide nano-electro-mechanical membrane resonator. Appl. Phys. Lett. 116, 043501 (2020).

  249. Ghatge, M. et al. A high-Q 30nm-thick MFM resonator using ferroelectric hafnium zirconium oxide. In 2020 IEEE 33rd International Conference on Micro Electro Mechanical Systems 953–956 (IEEE, 2020).

  250. Hakim, F., Tharpe, T. & Tabrizian, R. Ferroelectric-on-Si super-high-frequency fin bulk acoustic resonators with Hf0.5Zr0.5O2 nanolaminated transducers. IEEE Microw. Wirel. Compon. Lett. 31, 701–704 (2021).

    Article  Google Scholar 

  251. Mo, D., Rassay, S. & Tabrizian, R. Intrinsically Switchable Ferroelectric Scandium Aluminum Nitride Bulk Acoustic Wave Resonators. In 2021 21st International Conference on Solid-State Sensors, Actuators and Microsystems (Transducers) pp. 317–320 (IEEE, 2021).

  252. Rassay, S. et al. Intrinsically switchable ferroelectric scandium aluminum nitride lamb-mode resonators. IEEE Electron Dev. Lett. 42, 1065–1068 (2021).

    Article  CAS  Google Scholar 

  253. Dabas, S., Mo, D., Rassay, S. & Tabrizian, R. Intrinsically Tunable Laminated Ferroelectric Scandium Aluminum Nitride Extensional Resonator Based on Local Polarization Switching. In 2022 IEEE 35th International Conference on Micro Electro Mechanical Systems Conference 1050–1053 (IEEE, 2022).

  254. Yoshioka, V. et al. Strongly enhanced second-order optical nonlinearity in CMOS-compatible Al1−xScxN thin films. APL Mater. 9, 101104 (2021).

  255. Yu, S. Semiconductor Memory Devices and Circuits. (CRC Press, 2022).

  256. Banerjee, W. Challenges and applications of emerging nonvolatile memory devices. Electronics 9, 1029 (2020).

  257. Endoh, T., Koike, H., Ikeda, S., Hanyu, T. & Ohno, H. An overview of nonvolatile emerging memories—spintronics for working memories. IEEE J. Emerg. Sel. Top. Circ. Syst. 6, 109–119 (2016).

    Article  Google Scholar 

  258. Giterman, R., Atias, L. & Teman, A. Area and energy-efficient complementary dual-modular redundancy dynamic memory for space applications. IEEE Trans. VLSI Syst. 25, 502–509 (2017).

    Article  Google Scholar 

  259. Wolf, S. A., Lu, J., Stan, M. R., Chen, E. & Treger, D. M. The promise of nanomagnetics and spintronics for future logic and universal memory. Proc. IEEE 98, 2155–2168 (2010).

    Article  Google Scholar 

  260. Hsueh, F.-K. et al. First Demonstration of Ultrafast Laser Annealed Monolithic 3D Gate-All-Around CMOS Logic and FeFET Memory with Near-Memory-Computing Macro. In 2020 IEEE International Electron Devices Meeting (IEDM) pp. 40.4.1-40.4.4 (IEEE, 2020); https://doi.org/10.1109/IEDM13553.2020.9371892

  261. Murali, G., Sun, X., Yu, S. & Lim, S. K. Heterogeneous mixed-signal monolithic 3-D in-memory computing using resistive RAM. IEEE Trans. VLSI Syst. 29, 386–396 (2021).

    Article  Google Scholar 

  262. Hsueh, F.-K. et al. Ultra-low power 3D NC-FinFET-based monolithic 3D+ -IC with computing-in-memory for intelligent IoT devices. In 2018 IEEE International Electron Devices Meeting 15.1.1–15.1.4 (IEEE, 2018).

  263. Zidan, M. A., Chen, A., Indiveri, G. & Lu, W. D. Memristive computing devices and applications. J. Electroceram. 39, 4–20 (2017).

    Article  CAS  Google Scholar 

  264. Fong, S. W., Neumann, C. M. & Wong, H. S. P. Phase-change memory—towards a storage-class memory. IEEE Trans. Electron Dev. 64, 4374–4385 (2017).

    Article  CAS  Google Scholar 

  265. Wang, D. et al. Ferroelectric c-axis textured aluminum scandium nitride thin films of 100 nm thickness. In 2020 Joint Conference of the IEEE International Frequency Control Symposium and International Symposium on Applications of Ferroelectrics 1–4 (IEEE, 2020).

  266. Schönweger, G. et al. From fully strained to relaxed: epitaxial ferroelectric Al1‐xScxN for III‐N technology. Adv. Funct. Mater. 32, 2109632 (2022).

  267. Gund, V. et al. Temperature-dependent Lowering of Coercive Field in 300 nm Sputtered Ferroelectric Al0.70Sc0.30N. In 2021 IEEE International Symposium on Applications of Ferroelectrics 1–3 (IEEE, 2021).

  268. Drury, D. et al. Understanding reproducibility of sputter‐deposited metastable ferroelectric wurtzite Al0.6Sc0.4N films using in situ optical emission spectrometry. Phys. Status Solidi RRL 15, 2100043 (2021).

  269. Devi, L. G. & Nithya, P. M. Preparation, characterization and photocatalytic activity of BaTiF6 and BaTiO3: a comparative study. J. Environ. Chem. Eng. 6, 3565–3573 (2018).

    Article  CAS  Google Scholar 

  270. Jo, J. Y. et al. Coercive fields in ultrathin BaTiO3 capacitors. Appl. Phys. Lett. 89, 232909 (2006).

    Article  Google Scholar 

  271. Ma, W., Zhong, J. & Jian, W. Curie phase transition and critical size for ferroelectricity in strained ultrathin PbTiO3 and BaTiO3: a phenomenological study. Ferroelectrics 507, 86–101 (2017).

    Article  CAS  Google Scholar 

  272. Wahid, M. H. A. et al. Dielectric and microstructural properties of BaTiO3 and Ba0.9925Er0.0075TiO3 ceramics. EPJ Web Conf. 162, 01051 (2017).

  273. Yusoff, N. H., Osman, R. A. M., Idris, M. S., Muhsen, K. N. D. K. & Nor, N. I. M. Dielectric and structural analysis of hexagonal and tetragonal phase BaTiO3. In AIP Conference Proceedings 020038 (AIP, 2020).

  274. Kim, S., Nguyen, N. & Bark, C. Ferroelectric materials: a novel pathway for efficient solar water splitting. Appl. Sci. 8, 1526 (2018).

  275. Randall C. A. et al. History of the First Ferroelectric Oxide, BaTiO3 (Materials Research Institute, The Pennsylvania State Univ., 2004).

  276. Desu, S. B. Influence of Stresses on the Properties of Ferroelectric BaTiO3 Thin Films. J. Electrochem. Soc. 140, 2981 (1993).

    Article  CAS  Google Scholar 

  277. Sang, Y., Liu, B. & Fang, D. The size and strain effects on the electric-field-induced domain evolution and hysteresis loop in ferroelectric BaTiO3 nanofilms. Comput. Mater. Sci. 44, 404–410 (2008).

    Article  CAS  Google Scholar 

  278. Zhai, J. & Chen, H. Ferroelectric properties of Bi3.25La0.75Ti3O12 thin films grown on the highly oriented LaNiO3 buffered Pt/Ti/SiO2/Si substrates. Appl. Phys. Lett. 82, 442–444 (2003).

    Article  CAS  Google Scholar 

  279. Cheynet, M. C., Pokrant, S., Tichelaar, F. D. & Rouvière, J.-L. Crystal structure and band gap determination of HfO2 thin films. J. Appl. Phys. 101, 054101 (2007).

  280. Io, W. F. et al. Temperature- and thickness-dependence of robust out-of-plane ferroelectricity in CVD grown ultrathin van der Waals α-In2Se3 layers. Nano Res. 13, 1897–1902 (2020).

    Article  CAS  Google Scholar 

  281. Wang, S. et al. Two-dimensional ferroelectric channel transistors integrating ultra-fast memory and neural computing. Nat. Commun. 12, 53 (2021).

    Article  CAS  Google Scholar 

  282. Xiao, J. et al. Intrinsic two-dimensional ferroelectricity with dipole locking. Phys. Rev. Lett. 120, 227601 (2018).

    Article  CAS  Google Scholar 

  283. Tang, W. et al. A van der Waals ferroelectric tunnel junction for ultrahigh-temperature operation memory. Small Methods 6, e2101583 (2022).

    Article  Google Scholar 

  284. Wu, D. et al. Thickness-dependent dielectric constant of few-layer In2Se3 nanoflakes. Nano Lett. 15, 8136–8140 (2015).

    Article  CAS  Google Scholar 

  285. Carl, K. Ferroelectric properties and fatiguing effects of modified PbTiO3 ceramics. Ferroelectrics 9, 23–32 (1975).

    Article  CAS  Google Scholar 

  286. Kighelman, Z., Damjanovic, D., Cantoni, M. & Setter, N. Properties of ferroelectric PbTiO3 thin films. J. Appl. Phys. 91, 1495–1501 (2002).

    Article  CAS  Google Scholar 

  287. Chaudhari, V. A. & Bichile, G. K. Synthesis, structural, and electrical properties of pure PbTiO3 ferroelectric ceramics. Smart Mater. Res. 2013, 1–9 (2013).

  288. Ribeiro, R. A. P. & Lázaro, S. R. D. Theoretical investigations of the bulk modulus in the tetra-cubic transition of PbTiO3 material. Quím. Nova https://doi.org/10.5935/0100-4042.20140190 (2014).

  289. Mandal, D. et al. Bandgap determination of P(VDF-TrFE) copolymer film by electron energy loss spectroscopy. Bull. Mater. Sci. 33, 457–46 (2010).

    Article  CAS  Google Scholar 

  290. Kingon, A. I. & Srinivasan, S. Lead zirconate titanate thin films directly on copper electrodes for ferroelectric, dielectric and piezoelectric applications. Nat. Mater. 4, 233–237 (2005).

    Article  CAS  Google Scholar 

  291. Pulskamp, J. S. et al. Piezoelectric PZT MEMS technologies for small-scale robotics and RF applications. MRS Bull. 37, 1062–1070 (2012).

    Article  CAS  Google Scholar 

  292. Miyake R. et al. Commercial production of epitaxial PZT for piezoelectric MEMS applications. In 2020 Joint Conference of the IEEE International Frequency Control Symposium and International Symposium on Applications of Ferroelectrics 1–3 (IEEE, 2020).

  293. Samanta, S., Sankaranarayanan, V. & Sethupathi, K. Band gap, piezoelectricity and temperature dependence of differential permittivity and energy storage density of PZT with different Zr/Ti ratios. Vacuum 156, 456–462 (2018).

    Article  CAS  Google Scholar 

  294. Kim, K. & Lee, S. Integration of lead zirconium titanate thin films for high density ferroelectric random access memory. J. Appl. Phys. 100, 051604 (2006).

  295. Durruthy-Rodríguez, M. D., Costa-Marrero, J., Hernández-García, M., Calderón-Piñar, F. & Yañez-Limón, J. M. Photoluminescence in “hard” and “soft” ferroelectric ceramics. Appl. Phys. A 98, 543–550 (2009).

    Article  Google Scholar 

  296. Masuda, Y. & Nozaka, T. The influence of various upper electrodes on fatigue properties of perovskite Pb(Zr,Ti)O3 thin films. Jpn. J. Appl. Phys. 42, 5941–5946 (2003).

    Article  CAS  Google Scholar 

  297. Celinska, J., Joshi, V., Narayan, S., McMillan, L. & Paz de Araujo, C. Effects of scaling the film thickness on the ferroelectric properties of SrBi2Ta2O9 ultra thin films. Appl. Phys. Lett. 82, 3937–3939 (2003).

    Article  CAS  Google Scholar 

  298. Xue, K.-H., Fonseca, L. R. C. & Miao, X.-S. Ferroelectric fatigue in layered perovskites from self-energy corrected density functional theory. RSC Adv. 7, 21856–21868 (2017).

    Article  CAS  Google Scholar 

  299. Sakai, S. & Ilangovan, R. Metal–ferroelectric–insulator–semiconductor memory FET with long retention and high endurance. IEEE Electron Dev. Lett. 25, 369–371 (2004).

    Article  CAS  Google Scholar 

  300. Singh, A., Kumar, D., Khanna, P. K., Kumar, A. & Kumar, M. Dielectric anomaly in Mg doped ZnO thin film deposited by Sol–Gel method. J. Electrochem. Soc. 158, G9 (2011).

  301. Nuraje, N. & Su, K. Perovskite ferroelectric nanomaterials. Nanoscale 5, 8752–8780 (2013).

    Article  CAS  Google Scholar 

Download references

Acknowledgements

D.J., R.H.O. and K.-H.K. acknowledge primary support for this work from the Defense Advanced Research Projects Agency (DARPA) TUFEN programme under agreement nos HR00112090046 and HR00112090047. R.H.O. and I.K. acknowledge the support of the Semiconductor Research Corporation. D.J. acknowledges support from an Intel Rising Star award. The authors acknowledge helpful comments from S. Chang at the Intel Corporation.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Deep Jariwala.

Ethics declarations

Competing interests

The authors declare no competing interests.

Peer review

Peer review information

Nature Nanotechnology thanks the anonymous reviewers for their contribution to the peer review of this work.

Additional information

Publisher’s note Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Springer Nature or its licensor (e.g. a society or other partner) holds exclusive rights to this article under a publishing agreement with the author(s) or other rightsholder(s); author self-archiving of the accepted manuscript version of this article is solely governed by the terms of such publishing agreement and applicable law.

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kim, KH., Karpov, I., Olsson, R.H. et al. Wurtzite and fluorite ferroelectric materials for electronic memory. Nat. Nanotechnol. 18, 422–441 (2023). https://doi.org/10.1038/s41565-023-01361-y

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1038/s41565-023-01361-y

Search

Quick links

Nature Briefing

Sign up for the Nature Briefing newsletter — what matters in science, free to your inbox daily.

Get the most important science stories of the day, free in your inbox. Sign up for Nature Briefing