Open Access
12 May 2020 Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography
Author Affiliations +
Abstract

Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography as their probability Pd exponentially increases with decreasing feature size and is highly sensitive to variations in process/mask conditions. Photon shot-noise and discrete/probabilistic nature of materials have been blamed as their causes.

Aim: We introduce models for relating Pd to photon and resist statistics under various exposures and material conditions and analyze their impact in future EUV lithography.

Approach: Three-dimensional reaction distribution is calculated by a fully coupled Monte Carlo simulation including discrete photon, photoelectron scattering, and resist stochastics. Then probability models predict Pd from statistical data extracted from Monte Carlo results.

Results: Stochastic defect generation is enhanced by cascade and/or cluster of correlated reactions among nearby polymers/molecules due to secondary electrons (SE)/acid diffusion and SEs generated along scattered photoelectron trajectories. Pd decreases with increasing reaction density, suppressing effective image blur, and introducing quenchers, where reaction density is limited by SE, photoacid generator, and reaction site. Defect probability increases with decreasing target size for the same k1-factor, while strongly dependent on image slope and defocus.

Conclusions: Our analyses suggest that applying EUV lithography to smaller target requires careful material choice, extremely precise process control, and further EUV power enhancement.

1.

Introduction

As the pattern width of integrated circuit is approaching the size of polymers or molecules, stochastic behaviors (unpredictable deviation in the edge from designed position) begin to appear in their patterning processes through local variations in pattern sizes and stochastic defects. The local size variations, such as local critical dimension uniformity (LCDU) and line edge roughness (LER) are regarded as a dispersion of the pattern feature size and affect the variation in device performances and chip yields through unpredictable edge placement errors both in immersion-ArF laser and in extreme ultraviolet (EUV) exposure processes.1,2 In contrast, stochastic defects are fatal patterning failures such as bridging between neighboring lines or breakages of lines.35 This is becoming major concern in the future EUV lithography as observed probability of these defects exponentially increases with decreasing feature size and is highly sensitive to variations in process conditions and in masks. Photon shot-noise and probabilistic nature of materials (discrete and inhomogeneous distributions of reaction species and reaction stochasticity) have been blamed as their causes. Although several attempts have been made on analyzing them, the contribution of each factor is not always clear yet.614

Conventionally, lithographic processes have been understood as interactions between patterned electromagnetic fields and resist materials as an inelastic body, and both of which are dealt with as continuous properties. This assumption is no more appropriate as pattern sizes approach to the average distances between photon-absorption events (as in EUV) or between chemical species interacting with the photons such as photoacid generator (PAG) in chemically amplified resist (CAR). If we take this discreteness into account (more strictly, by replacing a continuous function with a discrete function locally assuming discrete uniform distribution, so that the density of the latter is equal to the original continuous function), stochastic behaviors appear and we call this type of stochastic behavior intrinsic stochastics.

In contrast, unexpected spatial fluctuations in image intensity or resist material compositions can also trigger stochastic defects. It is reported that the defect probability is enhanced at the locations of mask defects although these defects themselves change resist critical dimension (CD) only in most cases.15 Also differences in interactions among different chemical components are suggested to cause local fluctuations in resist compositions such as an aggregation of PAG. Since the above intrinsic defect generation strongly depends on these exposure and material conditions, these fluctuations can enhance the generation of stochastic defects.16 We call this type of enhanced stochastic defect extrinsic, which can be dealt with by locally introducing perturbations to conditions for intrinsic stochastics. Since this requires thorough characterization of the fluctuations in extrinsic conditions, however, we focus on the intrinsic stochastics in this paper.

Since stochastic defects are evident only in EUV, their cause is hidden in differences between EUV and deep-ultraviolet (DUV), such as differences in photon densities, reaction mechanisms, or feature sizes. The area density of incident photon in EUV is about one-fourteenth of that in ArF when similar resist sensitivities are required at both wavelengths from practical constraints in each exposure tool. In contrast, the density of solubility changing reactions in resists should also be similar between the two wavelengths, assuming similar material platforms and chemical mechanisms for both wavelengths. The gap between the above two densities need to be compensated by (a) photon-absorption efficiency, (b) number of secondary electrons (SEs) generated from one photon, and (c) catalytic reactions (for CAR). We speculated that the defect generation closely relates to the spatial localization in the above three processes.

We previously calculated stochastic defects probabilities and their dependences on imaging and material conditions by combining fully coupled a Monte Carlo method and probabilistic models, pointing out that a wide range of variations in photoelectron trajectories and resulting SE distributions have also impact on defect generations.1719 However, this has not fully taken account of resist factor of stochastics. Mack20 analytically compared the impacts of photon and resist (acid in CAR) factors on LCDU, and relationships of resist compositions and defect probabilities have also been pointed out.21 In this paper, first, we add resist stochastics to the Monte Carlo part of our previous model for investigating the impact of each factors on stochastic defects.

In our previous defect probability models, we calculated defect probabilities using the following two mechanisms. First in the shot-noise model, we define stochastic defects as accidental connections of spot defects, in which the solubility in a particular spot of the resist film depart from desired ranges (mechanism A). Second in the SE string model, we assume that defects are generated by SEs emitted along a photoelectron trajectory, and we calculate the probability that a trajectory travels over a defect area (from mask edge to the center of unexposed area, for example) emitting SEs with intervals shorter than a specified threshold (mechanism B). However, their contributions are not always clear, and in this paper, we investigate the Monte Carlo results more closely and introduce a correlated reaction model to holistically deal with the above two mechanisms and other effects.19

We also introduce the effects of quenchers and densely packed polymers and extend the models to simple two-dimensional (2-D) arrays of dots and holes as well as periodic lines and spaces (L/S). The models are calibrated to experimentally observed behavior of stochastic defects within practical ranges for material parameters. In what follows, we discuss our models and their basic behaviors (Sec. 2) and analyze stochastic defects in EUV lithography using the models (Sec. 3).

2.

Analysis of Intrinsic Stochastic Defects

This section explains two main parts comprising our analysis method, fully coupled Monte Carlo simulation of resist exposure processes and probabilistic model of stochastic defects, and we discuss the defect generation mechanism. The Monte Carlo part calculates the distributions of reactions for changing solubility of resist matrix, and the probabilistic model extracts statistical information from the Monte Carlo results and calculates defect probabilities. For each part, we explain the methods and explore their basic behavior separately. Defect probabilities in EUV lithography calculated using the method are discussed in Sec. 3.

2.1.

Monte Carlo Method

When exposing a resist film with EUV light, atoms in the resist film absorb EUV photons at random positions (rPA) with the probabilities proportional to local light intensity and emit photoelectrons in arbitrary directions. We calculate the light intensity distribution in the resist film from 2-D images I(x,y) by the conventional partial coherent theory and Lambert–Beer law (absorption coefficient α).22 Each emitted photoelectron moves inside the resist film with changing its direction by elastic scattering and with losing its energy by inelastic scattering until it stops when its energy becomes lower than a certain threshold. An SE is generated at each inelastic scattering site (rSE) along photoelectron trajectories. We calculate the electron scattering process using the conventional discrete loss approximation model.2325

For CAR, we distribute PAGs and quenchers at random positions (rPAG and rQ) uniformly inside the resist film with volumetric density DPAG and DQ. Generated SEs diffuse inside the resist film and some of them are captured by PAGs within the SE blur range (σSE) to generate photoacids.26 This capture rate is proportional to the probability PSE that an SE exist at PAG sites, which is set to depend on the distance Δr between SE generation site and PAG site for each SE usually as PSE=exp(Δr2/σSE). SE and PAG are deactivated once they generate an acid. Each active acid generated at one of PAG sites (racid) diffuses inside the resist film and induces turn-on-numbers (TONs) of acid-catalytic (deprotection) reactions at random positions (rR) in resist matrix polymers or molecules within an acid diffusion range (σacid). This catalytic reaction probability is proportional to the existing probability Pacid of acid, which is set to depend on the distance between the acid generation site and the reaction site. When diffusing inside the resist film, some acids are neutralized by quenchers if they enter the diffusion ranges of quenchers with probabilities depending on the distance between acid and quencher. Although the above main acid-catalytic reactions and quenching are competing processes, the kinetics for each process is not clear. Due to the lack of this kinetic information and limited computing resources, we simulate the quenching processes as follows; we first select TON of protected-moiety sites as candidates for main catalytic reactions for each acid, and for those sites around quenchers, we select some of them with probabilities depending on the distances between sites and quencher and neutralize 50% of them. Quenchers are deactivated once they are neutralized. Since this is a bold approximation, note that the results with quenchers in this paper are qualitative rather than quantitative.

For CAR exposed by DUV light (such as ArF laser), PAGs randomly distributed in a resist film absorb DUV photons with the probabilities proportional to the local light intensity and generate acids with the probability of quantum efficiency at racid, and the rest is the same as for EUV. For non-CAR such as metal-oxide (MOx) resists27 exposed by EUV light, each generated SE in the above CAR process directly reacts with a nearby reaction site of resist molecules/polymers (such as ligand in MOx) within the SE blur range (σSE) to change their solubility.

We implemented the above processes into a simulator based on Monte-Carlo method to obtain three-dimensional distributions of various events (such as photon absorptions, photoelectron scattering, generations of SEs and photoacids, and catalytic reactions) for various conditions (such as material types, material parameters, imaging conditions, mask pattern types, and their feature sizes). The simulator comprises of a photon stochastic part and a resist stochastic part as illustrated in Fig. 1(a). The relationships among major parameters and variables are also shown in this figure. Repetitive boundary conditions with the pattern period are set for image, electron-scattering, distributions of chemical species, diffusion, and reactions. We also calculated the rates of SEs captured by PAGs, quenching, and the final acid generation under flood exposure using the above Monte Carlo method. Note that these rates change with materials and exposure dosage (and thus locations within images) since they depend on local distributions and blur ranges of SEs, PAGs, and quenchers.

Fig. 1

Procedures of calculating stochastic defect probabilities consisting of (a) fully coupled Monte Carlo simulation for calculating reaction distributions in resist films and (b) probability model for calculating stochastic defect probabilities from the reaction distributions. Inset: typical example of main reaction distributions in EUV-exposed CAR resist film (16-nm L/S by EUV NA0.33, dipole illumination). Reactions originated from the same photon are shown by the same color.

JM3_19_2_024601_f001.png

2.2.

Monte Carlo Results

2.2.1.

Clustering and cascading of correlated reaction

A typical distribution of reactions calculated for EUV-exposed CAR is shown as an inset of Fig. 1, where reactions induced by photons absorbed in the depth range of 10-nm from the surface of resist film are extracted. The reactions induced from the same photon are shown with the same color. For visibility, top views of the following event distributions in 5-nm thick slices from the surface of the resist films are shown in Fig. 2; (a) photon absorptions (red) and PAGs (blue), (b) acid generations (green) and SE generations (magenta), and (c) main reactions for changing the solubility of resist matrix, where the reactions induced by the same photon are shown in the same color. In Fig. 2, results for ArF-exposed CAR with low- and high-PAG density, EUV-exposed CAR, and EUV-exposed MOx material are compared. For purely evaluating the influence of densities for photons and chemical species, the same irradiation dosage (40  mJ/cm2), optical image distribution (16-nm L/S by EUV, NA0.33 equivalent), and acid diffusion range (3 nm for CAR) are hypothetically assumed. TONs in CAR are roughly adjusted to achieve a proper reaction contrast for each wavelength. Major calculation conditions and the densities of photon absorption, SE generation, PAG or ligands, and main reaction are also shown in Fig. 2.

Fig. 2

Top-views of three-dimensional distributions of various events calculated for ArF/CAR, EUV/CAR, and EUV/MOx: (a) photon-absorption events (red) and initial PAG or reaction site (blue), (b) SE generation (magenta), and acid generation (green), and (c) main reactions (the same color for reactions originated from the same photon). To clarify the differences in reaction mechanisms, the same image distribution (16-nm L/S by EUV NA0.33, dipole illumination) and diffusion blurs (3 nm for CAR) are hypothetically assumed.

JM3_19_2_024601_f002.png

Resist pattern formation is difficult for PAG density (0.2  nm3) lower than photon density in ArF. As PAG density (0.5  nm3) approaches to the photon density, it becomes possible with relatively smooth edges in the distributions of acid generations and main reactions. (In ArF, PAGs contribute a part of photon absorption in resists.) In the main reaction distributions, reactions induced by different photons (shown by different colors) are uniformly mixed. For EUV-exposed CAR with the same PAG density, photon-absorption density is one fourteenth of that in ArF, and we observe rough line edges in acid generation distributions. In the main reaction distribution, we observe clusters of the same color reactions induced by the same photon, and the edges of reaction distribution are shaped by the edge of these clusters. For EUV-exposed MOx, densities of both photon absorptions and ligands increase, resulting in more densely packed, smaller size clusters.

Figure 3(a) compares the cross-sectional views of three-dimensional reaction distributions for ArF- and EUV-exposed CARs. Reactions in various colors are uniformly mixed in ArF, whereas reactions shown by a particular color are spatially aggregated in EUV. The formation mechanism of the above clusters is intuitively illustrated in Fig. 3(b). In ArF/CAR, an absorbed photon induces TON of reactions within an acid diffusion range (3 to 5 nm). This diffusion range is longer than the average distance among absorbed photons (roughly 1.1 nm for a typical condition). Thus reactions induced by different photons (shown by different colors) are uniformly mixed, and the contribution of a particular photon to the solubility of polymer is diluted. In contrast for EUV/CAR, a larger number of reactions (SE yield × TON) is induced by each individual photon and distributed within the blur range along photoelectron trajectory. Since the average distance among photon absorptions (2.7 nm for the same dosage and absorption) is longer than in ArF case and closer to typical diffusion blur ranges, reactions induced by each individual photon are aggregated to form a cluster of correlated reactions. The size of cluster is comparable to or larger than typical polymer size, and the solubility of polymers within such a cluster is determined by a particular photon. For EUV/non-CAR, each individual photon induces SE yield (number of SE generated from one photon absorption) of reactions within the SE blur range (1 to 2 nm) to form clusters of correlated reaction. This is smaller than in the case of CAR but can be comparable to or larger than a typical size of resist molecule (1 nm reported for MOx resists) and solubility of such molecules can be governed by a particular photon. Although increasing blur and photon density enhance mixing of correlated reactions and suppress the formation of their clusters, we will examine their impact on stochastic defect suppression later.

Fig. 3

Mechanisms of correlation in reactions: (a) catalytic reaction distributions for ArF- and EUV-exposed CAR calculated by Monte Carlo simulation, (b) generation mechanism for cluster of correlated reactions in EUV, and (c) cascade of SEs (shown by magenta) along photoelectron trajectories in EUV. Inset micrograph is taken from Ref. 28.

JM3_19_2_024601_f003.png

In Fig. 3(c), we magnify the distributions of SEs and reactions for MOx. Here we find some SEs arranged in chains, which are generated along the same photoelectron trajectories. Inset micrographs in Fig. 3(c) show 16-nm L/S patterns observed using an aberration-corrected SEM at a low-acceleration voltage (100 eV).28 Here we observe whisker-like residues in clear regions which is similar to the simulation results.

The above two mechanisms, reaction clustering and SE cascading shown in Figs. 3(b) and 3(c) suggest that nearby reactions induced by an identical photoelectron are correlated, and this makes the solubility of nearby polymers or molecules also correlated. That is, a particular spot in a resist film is more likely to be defective if its neighboring spot is defective than is not. We take account of this in defect probability models.

2.2.2.

Photon-limited or chemistry-limited stochastics?

There have been some discussions on whether stochastics in lithography processes are originated from photon shot-noise or resist materials. Before discussing defect probability models, we examine the contribution of the above two factors on stochastics in EUV exposures. As Mack20 analytically explained, when the density of PAG (or primary chemical species accepting photons/SEs) is sufficiently higher than the density of photons/SEs near pattern edges, LER/LCDU (caused by fluctuation in acid density) reflects photon noise. When the former is lower than the latter, on the other hand, it reflects the randomness of PAG distribution.

Using the above Monte Carlo simulation, we calculate an SE-reaction efficiency, the average number of reactions which a single SE can induce (SE-reactions) as a function of densities of photon absorptions and SE-reaction sites (Fig. 4). For CARs, the SE-reactions and their sites correspond to acid generations and PAGs, and for MOx main reactions to change the resist solubility and ligands. For the SE-reaction site density sufficiently higher than the average SE density (4.9 times of photon density for SE energy of 15 mV), the SE-reaction efficiencies are almost unity, representing that these reactions are photon limited. The rate decreases when the SE-reaction site density is lower than the average SE density because SE-reaction is limited by SE-reaction site density [Fig. 4(a)]. The rate decreases also if the SE blur is shorter than the average distances between SEs or between PAGs [lower left corner of Fig. 4(b)].

Fig. 4

SE reaction efficiencies as functions of densities of photon absorptions and SE reaction sites for (a) 2-nm SE blur and (b) 1-nm SE blur. (c) Acid generation efficiency after quenching (2-nm SE blur) and (d) quenching efficiency as functions of densities of photon absorptions and PAGs (2-nm SE blur).

JM3_19_2_024601_f004.png

For typical CARs, densities of photon absorptions and PAGs are approximately estimated in the ranges of 0.04 to 0.08 and 0.2 to 0.5  nm3, respectively, which are on the edge of photon-limited plateau of the SE-reaction efficiencies. The acid generation rates after quenching and quenching rate (the ratio of acids captured by quenchers) are also shown in Figs. 4(c) and 4(d). The acid generation rate after quenching in the above range shows that we can suppress the acid generation rate selectively for low photon-density (low exposed) region [Fig. 4(c)], where we find enhanced quenching rate [Fig. 4(d)]. This is because the quenching rate is limited by quencher density except in case where the acid density is low. By setting the material/operation conditions of resist exposures on the ridge of photon-limited region in Fig. 4(c), the acid generation rates are kept high for exposed regions while that for unexposed regions low, and this is expected to suppress the stochastic defects in unexposed regions.

For MOx, we assume that each of 1-nm diameter molecule has several ligands for forming a cross-linked molecular network. Thus the reaction site density (>1  nm3) is comparable or higher than the average densities of photon and SE for a typical condition (0.23 and 1.13  nm3, respectively, for 40  mJ/cm2, absorption 0.02  nm1, 15 eV). Additionally, the average distance between reaction sites (ligands) in MOx resists is shorter than the SE blur, and generated SEs can find reaction sites within its blur range. Thus we assume that MOx is photon-density-limited, and later in Sec. 3, we skip the resist stochastic part in Monte Carlo simulation for saving calculation time.

The highest acid density is limited by the lower one of SE density and PAG density, and their upper limit are determined by practical factors such as the output power of exposure tools, productivity requirements, resist photoabsorptions, and material formulations. We will analyze the impact of these densities on stochastic defect probabilities latter. Although the relationship between these densities and LCDU/LER is another interesting application of the method, we do not discuss it in this paper.

2.3.

Probability Model of Correlated Reactions

Monte Carlo methods allow for exposure physics and resist chemistry but are too slow to directly deal with extremely low probability stochastic defects. Thus we extract statistical information from Monte Carlo results and use them for predicting defect probabilities with probabilistic models as illustrated in Fig. 1(b). We decompose a defect probability into the product sum of probabilities for edge positions and probabilities that film defects cover the area between resist edge and location xd as follows:

Eq. (1)

Pdefect(xd)=Pedge(xedge)·P2defect(xd|xedge)dxedge,
where Pedge(xedge) is the probability that the edge of main pattern feature is located at x=xedge, and P2defect(xd|xedge) is the conditional probability that the area between x=xedge and x=xd are defective under the condition that edge is located at x=xedge. Here we assume one-dimensional features (such as periodic L/S) and that defect probabilities changes in the direction x normal to the mask edge. This can be extended to simple 2-D features such as periodic holes or dots as discussed later.

In this paper, we derive both probabilities Pedge and P2defect from Monte Carlo results, and thus all the results are self-consistent, although we can use Eq. (1) to evaluate the impact of externally measured variations in wafers/masks on the defect probabilities as we discussed in Ref. 29. Several approaches can be taken for calculating each element of decomposed probabilities in Eq. (1) from Monte Carlo results. After briefly reviewing our previous approaches (Mechanism A and B),17,18 we introduce a new approach (mechanism C).19

2.3.1.

Shot-noise model (mechanism A)

First, we calculate the probabilities of defects at local spots in a resist film and define the stochastic pattern defect as accidental connections of such spot defects. Here we define a local spot in a resist film is defective when the resist film solubility at the spot depart from desired ranges, and we name it a spot defect. To extract statistical information from the Monte Carlo results, the resist film is divided by a three-dimensional grid, and we count the number of reactions in each voxel produced by the grid. The solubility of each voxel (representing polymer/molecule) is assumed to flip if the number of reaction NR in that particular voxel exceeds a certain threshold NcR. Further, we count the number NSFV of solubility flipped voxels through thickness and assume that the solubility of a spot film changes depending on the number NSFV. Since these voxels represent the minimum volume units of dissolution in resist development, they represent polymers or molecules of resist matrix, and voxel size is set equal to the diameter of polymers or molecules. We assume bridge-type defects in negative-tone development (NTD) resist processes for the following explanation, but it is also extended to break-type defects and positive-tone development (PTD) resist processes. Here we set the following criteria, a spot pattern with designated resist thickness is formed when NSFV>NcSFV_pattern, and a spot film defect is formed when NSFV>NcSFV_defect. (In general, NcSFV_pattern>NcSFV_defect since the remaining thickness can be thinner for defect area than the full thickness of resist films.) Then the probability of spot pattern formation Pspot pattern and that of spot defect formation Pspot defect is defined by

Eq. (2)

PspotX(xi,NcSFV_X)=NcSFV_XpdfSFV(xi,NSFV)dNSFV.
(X = pattern or defect).

Here pdfSFV(xi,NSFV) is a probability function that we find the number NSFV of solubility flipped voxel through thickness at a spot x=xi (in the direction normal to the edge), and it is obtained by counting the number n(xi,NSFV) of samples along long L/S patterns across a simulation area with which we observe NSFV solubility flipped voxel through thickness at xi. If the count n(xi,NSFV) is zero due to the limitation in simulation area, we extrapolate pdfSFV(xi,NSFV) by exponential functions with respect to NSFV for each xi, with keeping the changes in value and slope continuous.

The probability P2 of generating defect covering the area between main pattern edge and the location xd in the clear space is calculated from the direct product of Pspot defect between the pattern edge and xd as

Eq. (3)

P2defectA(xd|xedge)=xedge<xi<xdPspot defect(xi,NcSFV_defect).

Similarly, the main pattern formation probability Pmain pattern is calculated from the direct product of Pspot pattern in designated resist pattern areas, and the distribution of edge position Pedge is derived by Pmain pattern(xδx) [1Pmain pattern(x+δx)]. Defect probability is calculated by substituting Eqs. (2) and (3) into Eq. (1).

Mechanism A assumes that the solubility of resist films at each spot of resist film is independent. As we see in Figs. 3(b) and 3(c), however, photoelectron scattering and SE/acid diffusions cause clustering and cascade of correlated reactions. Their correlation length is determined by the range of the scattering and diffusion and can be longer than the sizes of polymers/molecules (voxels). Although mechanism A includes these correlated reactions within each voxel (as the results of Monte Carlo simulations), it ignores the reaction correlation spreading over nearby voxels, across voxel boundaries, and thus, it possibly underestimates defect probabilities.

2.3.2.

SE string model (mechanism B)

As an extreme case which mechanism A cannot cover, we introduced the SE string model (mechanism B), in which we assumed that defects are generated by SEs emitted along a long photoelectron trajectory as suggested in Fig. 3(c). Defect probability in mechanism B is defined as the probability that a trajectory travels over a defect area (from resist pattern edge to the center of unexposed area, for example), emitting SEs with intervals shorter than a specified threshold (e.g., 1 nm). To calculate this, we first calculate PDF, PSEstring (xd|xedge, photon absorption = r) that photoelectron emitted from r=(x,y,z) generates an SE string between the main pattern edge at xedge and the evaluation point at xd. By convolving this PDF with the probability that photon absorption exists at r=(x,y,z), we obtain the probability that an SE string is generated between the edge at xedge and the position xd:

Eq. (4)

P2defectB(xd|xedge)=PSEstring(xd|xedge,photonabsorption=r)·Pphotonabsorption(r)dr.

Defect probability is calculated by substituting Eq. (4) into Eq. (1). As we previously reported, the probability of this can be comparable to that of mechanism A in some cases. However, it is for a particular case where a defect is generated by a string of SEs along a long photoelectron trajectory and ignores the conventional photon noise and clusters of correlated reaction due to SE/acid diffusion. Thus it possibly underestimates defect probabilities.

2.3.3.

Spatially correlated probability model (mechanism C)

We presume that stochastic defects in reality are caused from the mixture of the conventional photon noise (mechanism A), the clustering of correlated reactions due to SE/acid diffusion [as in Fig. 3(b)], and the cascade of correlated reactions due to photoelectron scattering [as in Fig. 3(c)] whose extreme case is mechanism B. All of the above effects coexist within Monte Carlo results although the contribution of each factor can vary from one case to another. To extract these effects holistically from Monte Carlo results, here we introduce the correlated reaction model (mechanism C).

As shown previously (Figs. 2 and 3), the influence of reactions induced by a particular photoelectron can spatially spread across adjacent voxels (polymers), and solubility of adjacent voxels (polymers) or spot films are correlated. That is, a particular spot in a resist film is more likely to be defective if its neighboring spot is defective than is not. To take this into account, we introduce the conditional probability Pcorr(xi|xi1) as the probability that the spot at (xi,y) is defective under the condition that the spot at (xi1,y) is defective. For a spot at x=xi to be included in a layer defect, x=xi1 is always included in layer defect and both spots are defective. Thus the probability P2 that the spot at x=xi is included in the defect is

Eq. (5)

P2defectC(xd|xedge)=xedge<xi<xdPcorrdefect(xi|xi1).

Note that this is obtained by replacing the spot defect probability Pspot defect in the previous mechanism A with the conditional probability Pcorrdefect(xi|xi1). Pcorrdefect(xi|xi1) represents the probability that neighboring spots becomes defective possibly due to an identical photoelectron and is calculated from the probability density function pdfSFV[NSFV(xi1), NSFV(xi)] that the area densities of solubility flipped polymer at xi1 and xi are NSFV(xi1) and NSFV(xi) in resist film as

Eq. (6)

PcorrdefectC(xi|xi1,NcSFV_X)=NcSFV_X{NcSFVXpdfSFV[NSFV(xi1),NSFV(xi)]dNSFV(xi1)}dNSFV(xi)0{NcSFVXpdfSFV[NSFV(xi1),NSFV(xi)]dNSFV(xi1)}dNSFV(xi).

Here pdfSFV[n(xi),n(xi1)] is calculated from the same results of Monte Carlo simulation as in the previous models, and it is obtained by counting the sample number n[NSFV(xi1),NSFV(xi)] along long L/S patterns across a simulation area with which we observe NSFV(xi1) and NSFV(xi) solubility flipped voxels through thickness at xi1 and xi. If the count is zero due to limitations of simulation area, we extrapolate pdfSFV[NSFV(xi1),NSFV(xi)] by 2-D exponential functions with respect to NSFV(xi1) and NSFV(xi) for each xi with keeping the changes in value and slope continuous.

To take account of correlations between the adjacent spots in diagonal relations (left and right with respect to the direction normal to the mask edge), we calculate pdfSFV[n(xi),n(xi1)] for the two diagonal directions and obtain the conditional probability for each. The total conditional probability is defined as

Eq. (7)

Pcorrdefect(xi|xi1)=max[Pcorrdefect left(xi|xi1),Pcorrdefect normal(xi|xi1),Pcorrdefect right(xi|xi1)].

Further, we calculate probabilities for break-type defects as well as for bridge-type defects. For break-type defects, we change the range of direct product in Eq. (5) to 0<xi<xedge and change Eqs. (6) and (7) as

Eq. (8)

PcorrdefectC(xi|xi+1,NcSFV_X)=0NcSFVX{0NcSFVXpdfSFV[NSFV(xi),NSFV(xi+1)]dNSFV(xi+1)}dNSFV(xi)0{0NcSFVXpdfSFV[NSFV(xi),NSFV(xi+1)]dNSFV(xi+1)}dNSFV(xi),

Eq. (9)

Pcorrdefect(xi|xi+1)=min[Pcorrdefect left(xi|xi+1),Pcorrdefect normal(xi|xi+1),Pcorrdefect right(xi|xi+1)].

For PTD resist processes, the probability of break-type defects is calculated by Eqs. (6) and (7), and that of bridge-type is by Eqs. (8) and (9).

Note that we have three important material parameters, NcR, NSFV_pattern, and NSFVdefect in mechanisms A and C. Threshold number NcR is the number of reactions in a voxel required for flipping its solubility and is mainly controlled through material formulation such as densities of hydrophobic moieties and of protected groups in resist matrix polymers. Instead of NcR, we use a threshold reaction density DcR=NcR /(volume of voxel) in this paper. Threshold number NSFV_pattern and NSFVdefect are the numbers of solubility flipped voxels through thickness required for forming resist patterns and defects, respectively, at a spot in resist film, and they mainly represent the strength of resist development and/or etching. Values and definitions of major independent and dependent parameters used in this paper are listed in Table 1. Since we have previously discussed the stochastic defect generation in mechanisms A and B,17,18 we focus on mechanism C in this paper.

Table 1

Typical values and/or definitions of model parameters.

VariablesValues and definitions
Numerical aperture0.33 to 0.55
Simulation area2000 to 20,000 nm × 20 to 32 nm (for L/S)
Resist thickness20 nm
Resist photoabsorption0.004  nm1 (for CAR), 0.02  nm1 (for MOx)
SE energy0.015 keV (with 10% standard deviation)
SE blur1.5 nm (mean free path of SE determined as a result of Monte Carlo simulation and dependent on density of PAGs or ligands)
Voxel size1 nm (for MOx), 2 nm (for CAR)
PAG density0.3 to 0.7  nm3 (for CAR)
Acid diffusion blur2 to 5 nm (for CAR)
TONNumber of acid catalytic reactions per acid: 3 to 10 (for CAR)
Quencher levelQuencher density/PAG density: usually set at 0.2 in this paper
Acid quencher mutual diffusion length4 nm (for CAR)
Ligand density1 to 4  nm3 (for MOx)
Reaction densityDensity of acid-catalytic reactions in CAR or reactions at ligands in MOx
Threshold reaction density DcRReaction density required for flipping the solubility of polymers or molecules, DcR=NcR/voxel size3
Reaction site densityDensity of reaction sites in resist matrix in which solubility changing reactions take place, such as protected moieties in CAR or ligands in MOx. Usually set at 2 DcR
Potential reaction densityPossible maximum reaction density under no restriction in PAG and reaction site densities, approximated by (photon irradiation density) × (photoabsorption) × (# of SEs/# of photon absorptions) × (# of acid generations/# of SEs) × TON
NmaxMaximum number of voxel through thickness, Nmax = resist thickness/voxel size
NSFVpatternNumber of solubility flipped voxel through thickness required for spot pattern formation: set at 0.8 Nmax UOS in this paper
NSFVdefectNumber of solubility flipped voxel through thickness required for spot defect generation: set at 0.5 Nmax UOS in this paper

2.3.4.

Densely packed model

In the above explanations, we approximated a resist film by a simple cubic lattice with polymer/molecular diameter as lattice constant and assumed a one-dimensional array of spot films in the lattice. With such models, a 10-nm width resist feature is represented by only five voxels of 2-nm size. In actual resist films, however, polymers or molecules are randomly packed, whose lateral locations vary in steps smaller than polymer diameter, and defects (cluster of solubility flipped polymers) are generated when nearby polymers/molecules touching one another flip their solubility simultaneously. To simulate this situation, we separate polymer sizes and the granularity of their locations by approximating resist matrix using the hexagonal close-pack structure (one of densely packed lattice) as illustrated in Fig. 5. For pattern or defect formation, adjacent spot films belonging to the sublattices A and B in Fig. 5 need to change their solubility simultaneously, where spot film solubility in each sublattice is determined in the same manner as in the simple cubic lattice case. We count the number of solubility flipped polymers in each sublattice and apply the previously explained conditional probability separately. We omit the correlation between the sublattices A and B for simplicity in the calculation procedure. Using the densely packed model generally lowers the level of defect probabilities for whole calculation conditions but does not affect relative relationships among them.

Fig. 5

Model of densely packed polymer approximated by hexagonal close-pack structure.

JM3_19_2_024601_f005.png

2.3.5.

Extension to holes and dots

We extend the above explained models also to simple 2-D arrays of holes or dots. We assume a radially symmetrical image distribution for each hole or dot and apply the same model as previously explained. Practically, we count NSFV along many lines radiating from a hole/dot center for each hole/dot in the array, instead of many lines along the edge of L/S perpendicularly cutting the mask edge. Then we replace x in Eq. (1) with radial position r.

2.4.

Basic Behavior of Probability Model

2.4.1.

Resist parameter design strategy

With the above Monte Carlo-based probability models for stochastic defects, we can calculate delineated pattern sizes and stochastic defect probabilities from imaging conditions and material parameters. To explore the relationships between input parameters and output performances, we combine our model shown in Fig. 1 with a multivariable, multiobjective genetic algorithm optimization tool.30 The tool finds sets of control variables which minimize objective functions. Here we set four control variables (and their control ranges) as below; acid diffusion blur (2 to 5 nm), exposure dosage (10 to 100  mJ/cm2), TON (3 to 10), and effective exposure normalized by threshold (3 to 10) required for flipping the solubility of polymers/molecules. The exposure dosage modulates the reaction density through the densities of photon absorptions and photoacids while TON modulate the reaction density independently from the acid density. The last parameter is the ratio of reaction density for flood exposure area to that for the dissolution threshold at pattern edges, and it is adjusted to achieve designed resist size for the reaction density resulting from the other control variables. Other parameters are set as follows: polymer size = 2 nm, absorption coefficient=0.004  nm1, PE energy loss par inelastic scattering=15  eV with 10% standard deviation (corresponding to about 4.9 SE generations per a photon-absorption event). Calculation is performed for 16-nm L/S patterns with NA 0.33, dipole illumination. We assume that the material parameters are mutually independent although this is not always practical, as a positive correlation is expected between acid diffusion blur and TON. Optimizations with such constraints are future problems, and in this paper, we investigate the impact of individual parameters separately in Sec. 3. The above four control variables are optimized for minimizing the following three objective functions; bridge defect probability, break defect probability, and discrepancy of resist size from designed size. Due to limitations in calculation resources, here we omitted the SE–PAG–quencher interaction part of the Monte Carlo simulation, assuming that the PAG density is sufficiently high so that the acid generation rate is not limited by PAG density. We mainly apply the correlation model explained in the previous section.

Figure 6 show the distributions of delineated feature sizes and probabilities for bridge-type and break-type defects obtained through the optimization with 10 generations of 100 populations. For the optimization, we used the software package based on NSGA-II algorithm with setting a crossover probability and a distribution index of 0.8 and 5, and a mutation probability and a distribution index of 0.1 and 10.31 Each point in Fig. 6 represents the performance (i.e., delineated feature sizes and defect probabilities) calculated by Monte Carlo-based defect probability models for a particular material parameter set of 1000 parameter sets evaluated during optimization in total. Relationships between defect probability (bridge-type) and exposure dosages required for obtaining designed size resist features (dose-to-size) are also shown at the bottom of Fig. 6 for the above optimization results. To visualize the relationship between the performances and material parameters, the values of the following five parameters are shown by color, diffusion blur [Fig. 6(a)], average distance among photon-absorption events [Fig. 6(b)], TON [Fig. 6(c)], potential reaction density [Fig. 6(d)], and effective exposure normalized by threshold, which is the ratio of potential reaction density to the threshold reaction density required for flipping solubility of polymer/molecule [Fig. 6(e)]. Here the potential reaction density is defined as the product of area density of irradiated photon, absorption coefficient, SE yield, acid generation efficiency, and TON in the acid catalytic reaction, corresponding to the possible highest reaction density in flood exposure area without limitation in densities in PAGs and reaction sites.

Fig. 6

Distributions of delineated feature sizes and defect probabilities (bridge-type and break-type) obtained through the multiobjective genetic algorithm optimization. Colors in each plot represent (a) the average distance between photon-absorption events, (b) diffusion blur, (c) TON, (d) potential (possible maximum) reaction density, and (e) the ratio of potential reaction density to the threshold reaction density required for flipping solubility of polymer/molecule.

JM3_19_2_024601_f006.png

The lowest reachable limit of defect probability (so-called Pareto front) exponentially decreases (for bridge-type) or increases (for break-type) with increasing space width. For both types of defects, the defect probability decreases at each space width with decreasing diffusion blur [Fig. 6(a)], with decreasing average distance among photon absorption (increasing photon-absorption density) [Fig. 6(b)], with increasing TON [Fig. 6(c)], and with increasing the potential reaction density [Fig. 6(d)]. The defect probabilities near the Pareto front are obtained when the diffusion blur is near its lower limit, the photon-absorption density, TON, and the potential reaction density are near their upper limit. The designed size resist features are obtained when the relative threshold reaction density is about 3.5 to 4. The lowest attainable defect probability exponentially decreases with increasing the dose-to-size (Fig. 6 bottom). This need to be accompanied with decreasing blur and increasing TON again.

Although the previous Monte Carlo results show that acid diffusion promotes spatial mixing of reactions from various photons and dilutes the influence of photon noise, it has a negative influence on stochastic defects due to image degradation in the feature sizes EUV is applied. Since suppressing blur decreases the cluster size of correlated reactions, higher densities of photons and PAGs are necessary to fill the volume in resist films with the clusters and hopefully to enhance the mixing. Further to utilize the increased density of acids for increasing the reaction density, the density of reaction sites needs to be sufficiently high. The optimization results also show that higher TON is preferable, meaning that not only photon-absorption density, but also higher reaction density is effective for decreasing defect density. However, another optimization results with setting the upper limit for reaction density (this is more practical assumption since it is limited by the reaction site density) shows that increasing photon density takes priority over increasing TON to achieve possible highest reaction density.

The optimization results in Fig. 6 show that the defect probability as low as 1030, virtually zero-defect is achievable at designed space width (16 nm). However, the conditions for achieving this probability (2-nm diffusion blur, 100  mJ/cm2 exposure dosage, and TON of 10) correspond to the reaction density as high as 12  nm3 in flood exposure area and is unrealistically high. Thus the above optimization is not for practically determining optimal parameters but rather for suggesting following design guidelines for materials/processes. It is preferable (a) to suppress image degradation by decreasing diffusion blur, (b) to increase photon-absorption density along the horizontal axes in Fig. 4 by increasing photon absorption and exposure dose, and (c) to increase reaction density along the vertical axes in Fig. 4 by increasing reaction site density (such as protected moieties or ligands), their dissolution thresholds, and TON, with keeping sufficient level of PAG density for converting available number of SEs to acids. The above guidelines can be applied also to non-CAR materials except for requirements for PAG and TON, whereas some requirements such as a low-blur and high-site density are automatically fulfilled in MOx. The influences of other parameters such as polymer size and quenching will be discussed in the next section under practical material conditions.

2.4.2.

Impact of correlated reactions

Here we examine the influence of correlation in reactions more in detail. Figure 7 compares defect probabilities using the shot-noise model (mechanisms A) and the correlated reaction model (mechanisms C) obtained from the same Monte Carlo results for typical conditions. For bridge-type defects, mechanism C predicts one to more than four orders of magnitude higher defect probabilities than mechanism A. Discrepancy between the two is larger for shorter diffusion blur, which enhance clustering of correlated reaction as suggested in Fig. 3. For break-type defects, mechanisms C predicts finite level probabilities while probability predicted by mechanism A is often below detection limit.

Fig. 7

Space width dependences of defect probabilities (CAR, 16-nm L/S patterns by NA 0.33) calculated by shot-noise model (mechanism A) and correlated reaction model (mechanism C). Space widths are modulated by changing exposure dosage for typical condition (voxel size = 2 nm, blur = 5 nm, threshold reaction density=1  nm3, TON=10).

JM3_19_2_024601_f007.png

Typical 2-D distributions for the number NSFV of solubility flipped polymer through the film are shown in Fig. 8(a) for diffusion blur of 2 and 5 nm and for photon-absorption density D of 0.075 and 0.25  nm3, corresponding roughly to 30 and 100  mJ/cm2 for absorption coefficient of 0.004  nm1. As resist pattern features and defects, the areas for NSFV/Nmax0.8 and NSFV/Nmax0.5 are shows by green and red (Nmax: number of voxel through thickness). The evolution of probability density functions pdfSFV[NSFV(xi1),NSFV(xi)] are shown along the x axis from the exposed area center to the unexposed area center in Fig. 8(b). TON of 10, polymer size of 2 nm, and energy loss par inelastic scattering of 15 eV with 10% standard deviation are assumed for 16-nm L/S patterns with NA 0.33, dipole illumination.

Fig. 8

Impact of correlated reactions and their dependences on diffusion blur and photon-absorption density: (a) the 2-D distributions for the number of solubility flipped polymer through film (green: NSFV/Nmax0.8, red: NSFV/Nmax0.5), (b) evolutions in the probability density functions pdfSFV[NSFV(xi1),NSFV(xi)] along the x axis (from exposed area center to unexposed area center).

JM3_19_2_024601_f008.png

For low photon-absorption density and large diffusion blur (Fig. 8, top), we observe scattered resist pattern edge and residues. Distributions of pdfSFV have rounding shapes, and their change within the image is slow, meaning a low correlation between neighboring spots and a low image contrast. With decreasing diffusion blur (Fig. 8, middle), fine structure (pixel level) fluctuation decreases, but large structure fluctuation still remains in edge and we find both types of defects. The shape of pdfSFV distribution changes from rounding to diagonal, representing an increased correlation in solubility between adjacent film spots, and the observed LER reflects the shape of correlated reaction clusters. This large structure fluctuation is suppressed by increasing photon-absorption densities (Fig. 8, bottom). The distribution of pdfSFV changes rapidly across the mask edge, meaning a high image contrast.

Although lower diffusion blur is desired for preventing effective images degradation, it promotes clustering of correlated reactions, and the higher photon-absorption density is necessary to enhance mixing of noncorrelated reactions. This agrees with the previous optimization results, and in the next section, we will discuss its impact on defect probabilities in EUV lithography more in detail.

3.

Analysis of Stochastic Defect Probabilities in EUV Lithography

This section discusses EUV stochastic defect probabilities using the defect models introduced in the previous section. We analyze their dependences on various conditions such as material types (CAR, non-CAR, and MOx), material parameters (photoabsorption, PAG and quencher densities, acid and quencher diffusion blurs, and threshold reaction densities), target design size and imaging conditions (NA of exposure optics), and mask pattern types (L/S, dot, and hole). To compare CAR and MOx, we assume NTD resist processes, except for the case we compare the model results with experimental results reported for PTD CAR processes.

3.1.

Material Parameter Dependences: CAR

3.1.1.

Basic material parameters

Since both delineated pattern feature sizes and defect probabilities change with exposure dosage, we calculated relationships between them by modulating exposure dose for various materials and imaging conditions. Here we applied the defect probability model including resist stochastics. Figure 9 show the dependences of the bridge-type (closed circles) and break-type (closed triangles) defect probabilities on delineated space width for several PAG densities (0.3 to 0.6  nm3) and threshold reaction densities required for flipping polymer solubility (0.4 to 1.6  nm3) with and without quenchers. Other parameters are set as follows: polymer diameter = 2 nm, absorptioncoefficient=0.004  nm1, SEblur=2  nm, SE energy = 15 eV, acid-diffusion blur = 4 nm, acid-quencher mutual diffusion range = 4 nm, quencher density normalized by acid density (quencher level) = 0.2, and acid catalytic reaction TON=10. 16-nm L/S pattern is assumed for NA=0.33, dipole illumination (normalized position of point sources at σx=±0.9). Defect probability predicted by the model without resist stochastics (the SE-PAG-quencher interaction) is also shown for comparison [Fig. 9(d)].

Fig. 9

Space width dependences of defect probabilities (CAR, 16-nm L/S patterns by NA 0.33) for (a), (b), (d)–(f), (h) several threshold reaction densities and (c), (g), PAG densities with and without quenchers. Results [(a)–(c) and (e)–(g)] are calculated by the model including resist stochastics and (d), (h) are by the pure photon stochastic model. Space widths are modulated by changing exposure dosage for 2-nm diameter polymer and 5-nm blur.

JM3_19_2_024601_f009.png

With increasing space width, the defect probability exponentially decreases for bridge-type and increases for break-type defects. (Fig. 9). For bridge-type defects in NTD (break-type in PTD), defect probability curves shift to lower level when increasing the threshold reaction density while keeping PAG density constant. The shift stops at a certain threshold reaction density level, and beyond this point, pattern formation itself becomes difficult [Fig. 9(a)]. As increasing PAG density [Fig. 9(b)], this saturation threshold reaction density increases, and lower defect probability is obtained. When increasing the PAG density while keeping the threshold reaction density constant [Fig. 9(c)], the defect probabilities decrease first but soon saturate at a certain PAG density. The behavior of resist stochastic model for sufficiently high PAG densities [Fig. 9(b)] is similar to that of pure photon and electron stochastics model [Fig. 9(d)]. For break-type defects in NTD (bridge-type in PTD), this dependence of defect probability on the PAG and threshold reaction densities is weak. Introduction of quenchers significantly decreases the level of defect probability within the limited ranges for PAG and threshold reaction densities (Fig. 9, bottom). Dependence of defect probabilities on the PAG and threshold reaction densities is similar between the cases with and without quenchers.

Defect probabilities obtained at designed resist size are summarized in Fig. 10 as functions of the threshold reaction density. Defect probabilities (without quenchers, solid lines in Fig. 10) exponentially decrease with increasing the threshold reaction density until a certain saturation point depending on the PAG density. Beyond this saturation point, the PAG density limits the conversion of SEs into acids required for achieving higher threshold densities, and it becomes difficult to delineate resist as designed size. With quenchers (dashed lines in Fig. 10), lower defect probability is obtained for lower threshold reaction densities compared without them. To lower the defect probability, higher threshold reaction density is desired, and to achieve this threshold density, we need a sufficient level of PAG density to provide the amount of acids required for achieving the reactions of the desired levels of threshold density. Thus the minimum attainable defect density exponentially decreases with increasing both densities of PAGs and threshold reaction density and with keeping a balance between them.

Fig. 10

Defect probabilities obtained at designed resist width as functions of threshold reaction densities for several PAG densities (CAR, 16-nm L/S patterns by NA 0.33). Dashed and solid lines are for with and without quenchers.

JM3_19_2_024601_f010.png

3.1.2.

Defect type dependence

While the probabilities of bridge-type defects (defects in unexposed area for NTD) decrease with increasing threshold reaction densities, break-type defects (defects in exposed area for NTD) are less dependent on them. To investigate this difference in responses of defect probabilities to resist parameters, we examine the probabilities that the reaction density is excessive (overreacted) or deficient (underreacted) at local spots in unexposed or exposed regions using Monte Carlo simulations. The probability density functions pdfreaction for the reaction densities at the centers of exposed and unexposed areas are compared in Fig. 11 for several threshold reaction densities. For each threshold reaction density, exposure dosage is set so that resist feature is obtained as designed size, and the reaction density is normalized by threshold reaction density for comparison. For unexposed area center, pdfreaction deviates from normal distributions and decreases exponentially in its tail, and their dispersions and their tail slopes change depending on the threshold reaction densities. In contrast, for exposed area center, pdfreaction is almost in normal distributions, and its dispersion is less dependent on threshold reaction densities than for the unexposed area. Because the side of the normalized reaction density >1 in unexposed region pdfreaction contributes the generation of bridge-type defects while the other side of the exposed region pdfreaction, break-type, the above behavior of pdfreaction is one of possible causes for the dependence of defect probabilities on threshold reaction densities and its difference between defect types. Questions what determines the shapes of pdfs are left open.

Fig. 11

Probability density functions for reaction density (normalized by threshold reaction density) calculated by Monte Carlo simulations. Results at the center of unexposed and exposed regions (corresponding to bridge-type and break-type in NTD) are shown for several threshold reaction densities.

JM3_19_2_024601_f011.png

3.1.3.

Development and etching

As another material parameters, our probability models have NSFV_pattern and NSFV_defect, which represent the number of solubility flipped voxel through film required for formation of pattern and defect at a spot in resist films. They represent how difficult (or easy) to develop or etch films for a given reaction density. Defect appears when the area of NSFV>NSFV_defect covers an unexposed region, or when the area of NSFV<NSFV_defect covers an exposed region. Therefore, the defect probability in unexposed region (bridge-type in NTD and break-type in PTD) increases for smaller NSFV_defect and that in exposed region (break-type in NTD and bridge-type in PTD) increases for larger NSFV_defect. For NTD, NSFV_defect represents how easy it is to develop or etch a film, while for PTD, it represents how difficult it is.

Delineated size dependences of defect probabilities in PTD are shown for several NSFV_pattern/Nmax and NSFV_defect/Nmax in Fig. 12, where Nmax is the maximum number of voxels through thickness. Levels of probabilities for bridge-type and break-type defects change with NSFV_defect in opposite direction. Stochastic defect probabilities have been measured and reported for several EUV-exposed resist materials using several metrology/inspection tools. One of the typical experimental results using PTD-CAR are plotted in Fig. 12, where bridge defect probabilities increase approximately from 105 to 102 with decreasing space size from 15 to 12.5 nm, while break-type from 106 to 102 with increasing space size from 17 to 19 nm (decreasing line size from 15 to 13 nm).5 They are well explained by calculated results assuming NSFV_defect/Nmax=0.7 for bridge-type, and NSFV_defect/Nmax=0.5 for break-type. Note that they are not a unique parameter set for reproducing the experimental results but change with other material parameters, and thus, they are empirical. The probabilities measured for bridge- and break-type defects are explained by different NSFV_defect. When fitting calculations to results measured on real wafers, the criteria for defect detection depend on metrology/inspection method and can be different between bridge-type and break-type. Further, for defects found after etching processes, the transferability of defects in resist films into under-layers is different between both types due to microloading effects. Thus NSFV_defect for each type of defects can be different in general. For the other part of this paper, however, we set the same NSFV_defect for both type defects so that their probabilities are roughly comparable between them for simplicity.

Fig. 12

Influence of NSFV_defect on calculated defect probabilities. PTD process is assumed and black solid lines are for the reported experimental results [Ref. 5].

JM3_19_2_024601_f012.png

3.1.4.

Polymer size dependence

To examine the influence of polymer diameter on defect probability, Fig. 13 compares defect probabilities calculated for 1- and 2-nm voxel sizes. Defect probabilities for 1-nm polymer are higher than that for 2 nm when other parameters are kept the same. This is because the former requires higher reaction density than the latter for flipping polymer solubility and thus for changing spot film solubility. On contrary to the intuitive speculation that smaller polymers are advantageous for shrinking target size, the above results show that larger polymers are effective for reducing defect probabilities when the reaction site density is limited.

Fig. 13

Influence of voxel sizes on calculated defect probabilities. (CAR, 16-nm L/S patterns by NA 0.33).

JM3_19_2_024601_f013.png

3.2.

Material Parameter Dependences: MOx

For nonchemically amplified MOx type resists, we assumed material parameters as follows:27 molecular diameter = 1 nm, absorption coefficient=0.02  nm1, SEblur=1 to 3 nm, threshold reaction density required for flipping molecular solubility 1 to 4  nm3. Note that no quenching effect is expected in MOx. As discussed in Sec. 2.2.2, the average distance between reaction sites in MOx resists is shorter than the SE blur. Thus here we omit the resist stochastic part in our Monte Carlo simulation and randomly generate a reaction event near each SE generation site with the Gaussian probability function with SE blur.

Defect probabilities exponentially decrease for bridge-type and increases for break-type defects with increasing space width (Fig. 14). Defect probabilities calculated for several SE blurs show that they rapidly degrade, in particular for bridge-type, when SE blur is larger than 2 nm [Fig. 14(a)]. It is reported that the average distance between the SE generation (photoelectron inelastic scattering) event and its chemical reaction with ligands in MOx molecules is about 1.5 nm, and this small blur is clear advantage of non-CAR over CAR. Defect probabilities calculated for several threshold reaction densities show that they rapidly decrease with increasing the threshold reaction density, again in particular for bridge-type [Fig. 14(b)]. As increasing NSFV_defect, bridge-type defect probability rapidly decreases and break-type defect probability slowly increases [Fig. 14(c)]. If we can control NSFV_defect independently from other material parameters such as the threshold reaction density, high defect densities for high-blur or low-threshold density can be restored by increasing NSFV_defect although possibility of such control is unclear.

Fig. 14

Space width dependences of defect probabilities in MOx resists for (a), (d), several SE blurs, (b), (e) threshold reaction densities, and (c), (f) NSFV_defect. Results are shown (a)–(c) for 16-nm target by NA=0.33 and (d)–(f) for 10-nm target by NA=0.55. The pure photon stochastic model is used and space widths are modulated by changing exposure dosage.

JM3_19_2_024601_f014.png

Here we compare relationships between defect probability and dose-to-size (exposure dosages required for obtaining designed size resist features) for CAR and MOx, when modulating them by changing the threshold reaction density (Fig. 15). When defect probability is photon-number limited, defect probability exponentially decreases with increasing dose-to-size. Lower defect probability can be expected with MOx than with CAR. To achieve this, however, the higher reaction density is necessary, and it is at the cost of exposure dose.

Fig. 15

Defect probabilities as functions of dose-to-size for 16-nm L/S patterns by NA 0.33. Results of bridge-type (orange) and break-type (green) defects are shown for (a) CAR and (b) MOx. Doses-to-size are modulated by changing threshold reaction density.

JM3_19_2_024601_f015.png

3.3.

Imaging Optics and Target Size Dependences

3.3.1.

High-NA

Here we discuss the behavior of stochastic defects for smaller target sizes. To separate the influences of absolute target size and normalized image log-slope (NILS), first, we compare the defect probabilities for 10-nm L/S with NA 0.53 optics (k1 factor=0.392) and for 16-nm L/S with NA 0.33 optics (k1 factor=0.391). Note that NA is set so that k1-factors are same for two cases.

For CAR, we applied the defect probability model including resist stochastics with expanding the material parameter ranges compared with the previous case for NA=0.33 as follows: 1 to 4 nm for diffusion blur, 0.4 to 1.6  nm3 for densities of PAG and threshold reaction. Calculated results [Fig. 16(a)] show that defect probability is more sensitive to diffusion blur than in the case for 16-nm target and rapidly degrade for blurs larger than 2 nm. Thus we assume 2-nm blur for the following calculation. For the above parameter ranges, the defect probability decreases with increasing threshold reaction density while it is insensitive to PAG density [Figs. 16(b) and 16(c)]. In spite of the same k1 factors, the defect probability is significantly higher for 10-nm L/S with 0.53 NA optics than for 16-nm L/S with 0.33 NA optics for the same PAG and threshold densities. Introducing quenchers restores degraded defect probabilities [Figs. 16(e)16(h)]. The defect density is strongly dependent on the absolute size of target features. Additionally, the strong dependence of defect probability on blur shows that quality of effective images such as NILS influences on defect probability. In applying CAR to smaller target features, low diffusion blur is necessary for suppressing effective image degradation and quenching is desired for blocking the stochastic noises. Since lower diffusion blur enhance clustering of correlated reactions, increasing photon-absorption density (exposure dosage or photoabsorption) is desired while increasing reaction site density and threshold reaction density.

Fig. 16

Space width dependences of defect probabilities (CAR, 10-nm L/S patterns by NA 0.55) for (a), (e) several acid diffusion blur, (b), (c), (f), (g) threshold reaction densities, and (d), (h) PAG densities with and without quenchers. Space widths are modulated by changing exposure dosage.

JM3_19_2_024601_f016.png

Similar comparison for MOx resists also shows that the defect probability is significantly higher for 10-nm L/S with 0.53 NA optics than for 16-nm L/S with 0.33 NA optics [Figs. 14(d)14(e)]. Calculated dependence of defect probabilities on SE blur shows that they are more sensitive to SE blur for 10 nm than for 16 nm [Fig. 14(d)], and thus, this small blur in MOx is clear advantage over CAR, particularly for smaller target sizes. Deference in SE blur between 1- and 2-nm impacts more than that in diffusion blur for CAR shown in Fig. 16(a). This is because the blur in CAR is roughly determined by a square-root-sum of SE blur and acid-diffusion blur. Further, increasing threshold reaction density decrease the defect probability [Fig. 14(e)], but this accompanies the increased dose-to-size as shown in Fig. 15(b).

3.3.2.

Absolute size dependence mechanism

To examine the mechanism for the dependence of defect probability on target size and blur, probability profiles of edge and spot defect generation are compared between 10- and 16-nm L/S (NA=0.55 and 0.33) in Fig. 17(a). Exposure dosages are adjusted so that edge probability peaks are approximately on the nominal edge position, and both profiles are plotted as functions of distance from nominal mask edge and superimposed.

Fig. 17

Probability profiles for (a) resist pattern edge and spot defect and (b) that of stochastic defects along the x axis (direction normal to mask edge). Results are compared for 10-nm L/S (NA 0.53) and 16-nm L/S (NA 0.33). MOx resists are assumed and the SE blurs are changed from 1 to 3 nm.

JM3_19_2_024601_f017.png

For small blur, the profiles of the edge distribution and the spot defect probability are scaled when decreasing target size and increasing NA with keeping k1-factor constant, and the differences in spot defect probabilities at the unexposed region center are not large between the two target sizes. This is because these profiles directly reflect the distribution of reactions. With increasing blur, however, profiles degrade rapidly in particular for small target size because the influence of blur on reaction distribution increases with decreasing target size. Variations among the edge probability peaks (height and width) are small compared with those among the spot film defect profiles. Thus the differences in target sizes and blurs are the main reason for increase in defect probability.

Stochastic defect probabilities calculated from these two profiles using Eq. (1) are plotted in Fig. 17(b) for each condition. Since the stochastic defect probability is obtained as (a linear combination of) direct products of spot defect probabilities (<1) in the area where defects are defined, it exponentially decreases with increasing the defect area. Thus it increases with shrinking the target size even when image contrast is kept unchanged. Also the strong dependence of stochastic defect probability on blur for small target is due to degraded spot defect probability.

3.3.3.

Process window

Previously, we showed that resist-process origin image blur severely increases the defect probability. There are various sources for optically degrading of image profiles, and they can also affect stochastic defects. Here we evaluated the impact of defect on stochastic defects. Since the depth of focus (DOF) decreases as the inverse square of NA, we compare the responses of defect probabilities to defocusing for 16-nm (NA=0.33) and 10-nm target (NA=0.55). Delineated resist sizes and defect probabilities (both for bridge- and for break-types) are calculated as functions of image defocus for several exposure dosages (Fig. 18). We assumed CAR and MOx for 16- and 10-nm targets, respectively.

Fig. 18

Focus-exposure responses of (a), (d), (g) resist size and of defect probabilities for (b), (e), (h) bridge-type and (c), (f), (i) break-type. (a)–(c) 16-nm L/S, NA=0.33, CAR, (d)–(i) 10-nm L/S, NA=0.55, MOx. Dotted lines in (g)–(i) are for the improved resist parameters.

JM3_19_2_024601_f018.png

For 16-nm target (NA=0.33, CAR with diffusion blur of 4 nm, threshold reaction densities of 1.2  nm3, without quencher), the delineated size is kept almost constant through the defocus range of 0 to 80 nm [Fig. 18(a)]. However, defect probability rapidly increases with defocus, particularly for bridge-type defect (NTD), although we obtain considerably low defect probability at the focused condition [Fig. 18(b)]. The focal range with defect probability lower than 106 is only ±30  nm. For 10-nm target (NA=0.55 NA, MOx with SE blur of 2 nm, threshold reaction densities of 2  nm3), DOF significantly decreases compared with the case of 16-nm target [Figs. 18(d)18(f)]. The focal range with defect probability lower than 106 is narrower than ±5  nm. By changing material parameters, diffusion blur to 1.5 nm and threshold reaction density to 3  nm3, we expect 106 defect probability within ±20  nm focal range [Figs. 18(g)18(i), dashed lines]. Nevertheless, this suggests that stochastic defects can severely limit the usable process window in the future.

3.4.

Mask Pattern Type Dependences

So far, we have dealt with defect probabilities for periodical L/S patterns. Here we discuss stochastic defects for another simple mask features, a 2-D array of apertures (holes) in dark-field (DF) mask and that of opaque islands (dot) in bright-field (BF) mask. Defect probabilities for holes in DF mask and for dots in BF mask are compared as functions of the delineated feature size for holes or dots. Results for 40- and 24-nm array pitches are shown for several NSFV_defect/Nmax in Figs. 19(a) and 19(b). For 40-nm pitch, we assumed CAR with 0.33 NA optics and a 2-nm mask bias (the size of hole/dot is 24 nm on a side), and for 24-nm pitch, MOx with 0.55 NA optics and a 1-nm mask bias. Quadrupole illumination with normalized position of point sources at σx=±0.7 and σy=±0.7 are assumed.

Fig. 19

Dependences of defect probabilities on delineated pattern feature sizes for 2-D arrays of apertures in DF mask and of islands in BF mask with several NSFV_defect: (a) 40-nm pitch, NA=0.33, CAR, and (b) 24-nm pitch, NA=0.55, MOx. The photon stochastic model is used and resist feature sizes are modulated by changing exposure dosage.

JM3_19_2_024601_f019.png

Though overall behaviors are similar between mask feature types, the probabilities for missing defects in BF masks are significantly large compared to other types defects, and it is difficult to improve this by changing NSFV_defect. This suggests that DF masks are preferable for patterning isolated-type features in terms of stochastic defects as well as of EUV mask defects, requiring both PTD and NTD processes depending on circuit layers. Application of the present model to arbitrary design mask features is still a challenge.

4.

Conclusions

Stochastic defect generation is enhanced by the cascade and/or cluster of correlated reactions among nearby polymers/molecules due to SEs/acid diffusion and to SEs generated along scattered photoelectron trajectories. Defect probability decreases with increasing reaction density, suppressing effective image blur, and introducing quenchers. Reaction density is limited by densities of SE, PAG, and reaction site, requiring a balance among these densities. Defect probability exponentially increases with decreasing absolute target size for the same k1-factor, while it strongly depends on image slope and thus, defocus, severely limiting process windows. Our analyses suggest that applying EUV lithography to smaller target sizes requires careful material choice, extremely precise process control, and further EUV power enhancement.

Stochastic defects can be defined as the case the extreme value distribution for some defect indices such as CD or remaining resist thickness goes beyond a certain criteria. When comparing the measured and calculated defect probabilities, we need to use the same index and its criteria for each. It is not always easy, however, to precisely quantify such small defects with metrology tools and to calculate defects and their response to metrology tool with defect simulations. Establishing a methodology for comparing the both is beyond the scope of this paper and a future challenge.

References

1. 

A. Yamaguchi et al., “Characterization of line-edge roughness in resist patterns and estimations of its effect on device performance,” Proc. SPIE, 5038 689 –698 (2003). https://doi.org/10.1117/12.483519 PSISDG 0277-786X Google Scholar

2. 

M. J. Maslow et al., “Co-optimization of lithographic and patterning processes for improved EPE performance,” Proc. SPIE, 10149 101490N (2017). https://doi.org/10.1117/12.2257979 PSISDG 0277-786X Google Scholar

3. 

P. De Bisschop, “Stochastic effects in EUV lithography: random, local CD variability, and printing failures,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (4), 041013 (2017). https://doi.org/10.1117/1.JMM.16.4.041013 Google Scholar

4. 

P. De Bisschop, “Stochastic printing failures in extreme ultraviolet lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041011 (2018). https://doi.org/10.1117/1.JMM.17.4.041011 Google Scholar

5. 

P. De Bisschop and E. Hendrickx, “Stochastic printing failures in EUV lithography,” Proc. SPIE, 10957 109570E (2019). https://doi.org/10.1117/12.2515082 PSISDG 0277-786X Google Scholar

6. 

H. Fukuda, “Analysis of line edge roughness using probability process model for chemically amplified resists,” Jpn. J. Appl. Phys., 42 (6B), 3748 (2003). https://doi.org/10.1143/JJAP.42.3748 Google Scholar

7. 

R. Brainard et al., “Shot noise, LER and quantum efficiency of EUV photoresists,” Proc. SPIE, 5874 74 (2004). https://doi.org/10.1117/12.536411 PSISDG 0277-786X Google Scholar

8. 

C. A. Mack et al., “Stochastic exposure kinetics of extreme ultraviolet photoresists: simulation study,” J. Micro/Nanolithogr. MEMS MOEMS, 10 (3), 033019 (2011). https://doi.org/10.1117/1.3631753 Google Scholar

9. 

R. Gronheid et al., “Extreme-ultraviolet secondary electron blur at 22-nm half pitch node,” J. Micro/Nanolithogr. MEMS MOEMS, 10 (3), 033004 (2011). https://doi.org/10.1117/1.3607429 Google Scholar

10. 

S. Bhattarai et al., “Analysis of shot noise limitation due to absorption count in EUV resists,” Proc. SPIE, 9422 942209 (2015). https://doi.org/10.1117/12.2087303 PSISDG 0277-786X Google Scholar

11. 

A. Narasimhan et al., “Studying secondary electron behavior in EUV resists using experimentation and modeling,” Proc. SPIE, 9422 942208 (2015). https://doi.org/10.1117/12.2086596 PSISDG 0277-786X Google Scholar

12. 

R. L. Bistol and M. E. Krysak, “Lithographic stochastics: beyond 3σ,” J. Micro/Nanolithogr. MEMS MOEMS, 16 (2), 023505 (2017). https://doi.org/10.1117/1.JMM.16.2.023505 Google Scholar

13. 

A. V. Pret et al., “Modeling and simulation of low-energy electron scattering in organic and inorganic EUV photoresists,” Proc. SPIE, 10146 1014609 (2017). https://doi.org/10.1117/12.2261434 PSISDG 0277-786X Google Scholar

14. 

R. Maas et al., “Stochastics in extreme ultraviolet lithography: investigating the role of microscopic resist properties for metal-oxide-based resists,” J. Micro/Nanolithogr. MEMS MOEMS, 17 (4), 041003 (2018). https://doi.org/10.1117/1.JMM.17.4.041003 Google Scholar

15. 

R. Jonckheere, III L. S. Melvin and R. Capelli, “Stochastic printing behavior of ML-defects on EUV mask,” Proc. SPIE, 11147 111470P (2019). https://doi.org/10.1117/12.2538153 PSISDG 0277-786X Google Scholar

16. 

P.-J. Wu et al., “Nanoscale inhomogeneity and photoacid generation dynamics in extreme,” Proc. SPIE, 10586 105861O (2018). https://doi.org/10.1117/12.2316308 PSISDG 0277-786X Google Scholar

17. 

H. Fukuda, “Localized and cascading secondary electron generation as causes of stochastic defects in extreme ultraviolet projection lithography,” J. Micro/Nanolithogr. MEMS MOEMS, 18 (1), 013509 (2019). https://doi.org/10.1117/1.JMM.18.1.013503 Google Scholar

18. 

H. Fukuda, “Impact of asymmetrically localized and cascading secondary electron generation on stochastic defects in EUV lithography,” Proc. SPIE, 10957 109570G (2019). https://doi.org/10.1117/12.2514018 PSISDG 0277-786X Google Scholar

19. 

H. Fukuda, “Stochastic defect generation in EUV lithography analyzed by spatially correlated probability model, reaction-limited and scattering-limited?,” Proc. SPIE, 11147 1114716 (2019). https://doi.org/10.1117/12.2535663 PSISDG 0277-786X Google Scholar

20. 

C. A. Mack, “Metrics for stochastic scaling in EUV lithography,” Proc. SPIE, 11147 111470A (2019). https://doi.org/10.1117/12.2538985 PSISDG 0277-786X Google Scholar

21. 

M. I. Sanchez et al., “An analysis of EUV resist stochastic printing failures,” Proc. SPIE, 11147 1114717 (2019). https://doi.org/10.1117/12.2537632 PSISDG 0277-786X Google Scholar

22. 

W. G. Oldham et al., “A general simulator for VLSI lithography and etching processes: Part I-Application to projection lithography,” IEEE Trans. Electron Devices, 26 (4), (1979). https://doi.org/10.1109/T-ED.1979.19482 IETDAI 0018-9383 Google Scholar

23. 

R. Shimizu et al., “A Monte Carlo approach to the direct simulation of electron penetration in solids,” J. Phys. D: Appl. Phys., 9 101 (1976). https://doi.org/10.1088/0022-3727/9/1/017 JPAPBE 0022-3727 Google Scholar

24. 

M. P. Seah and W. A. Dench, “Quantative electron spectroscopy of surfaces: a standard data base for electron inelastic mean free paths in solids,” Surf. Interface Anal., 1 (1), 2 (1979). https://doi.org/10.1002/sia.740010103 SIANDQ 0142-2421 Google Scholar

25. 

D. C. Joy, Monte Carlo Modeling for Electron Microscopy and Microanalysis, Oxford University Press, New York (1995). Google Scholar

26. 

S. Grzeskowiak et al., “Electron trapping: a mechanism for acid production in extreme ultraviolet photoresists,” J. Micro/Nanolithogr. MEMS MOEMS, 17 033501 (2018). https://doi.org/10.1117/1.JMM.17.3.033501 Google Scholar

27. 

A. Grenville et al., “Integrated fab process for metal oxide EUV photoresist,” Proc. SPIE, 9425 94250S (2015). https://doi.org/10.1117/12.2086006 PSISDG 0277-786X Google Scholar

28. 

Z. H. Cheng et al., “Application of aberration corrected low voltage SEM for metrology,” Proc. SPIE, 10959 1095922 (2019). https://doi.org/10.1117/12.2516017 PSISDG 0277-786X Google Scholar

29. 

H. Fukuda, “Estimating extremely low probability of stochastic defect in extreme ultraviolet lithography from critical dimension distribution measurement,” J. Micro/Nanolithogr. MEMS MOEMS, 18 (2), 024002 (2019). https://doi.org/10.1117/1.JMM.18.2.024002 Google Scholar

30. 

K. Deb, A. Pratap and S. Agarwal, “A fast and elitist multiobjective genetic algorithm: NSGAII,” IEEE Trans. Evol. Comput., 6 (2), 182 –197 (2002). https://doi.org/10.1109/4235.996017 ITEVF5 1089-778X Google Scholar

31. 

O. Mersmann et al., “Multiple criteria optimization algorithms and related functions,” (2014) https://cran.r-project.org/web/packages/mco/mco.pdf Google Scholar

Biography

Hiroshi Fukuda received his BS, MS, and PhD degrees from Tokyo Institute of Technology in 1983, 1985 and 1994, respectively. He joined Hitachi Central Research Laboratory in 1985, where he has engaged in various fields of lithography as well as nanodevices, MEMS, and HDD, including research activities in Stanford University and Hitachi Europe Ltd. He has been at Hitachi High-Tech since 2012. He has published more than 30/80 journal/conference papers and holds more than 20 patents. He is a fellow of SPIE.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
Hiroshi Fukuda "Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography," Journal of Micro/Nanolithography, MEMS, and MOEMS 19(2), 024601 (12 May 2020). https://doi.org/10.1117/1.JMM.19.2.024601
Received: 25 January 2020; Accepted: 24 April 2020; Published: 12 May 2020
Lens.org Logo
CITATIONS
Cited by 6 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Stochastic processes

Monte Carlo methods

Polymers

Diffusion

Absorption

Extreme ultraviolet lithography

Extreme ultraviolet

Back to Top