Skip to main content
Log in

A Low-cost BIST Design Supporting Offline and Online Tests

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Offline and online built-in self-test (BIST) designs are low-cost platforms to test very complex modern chips. The offline BIST design embeds the test pattern generator (TPG) into the chip to be activated in the test time. On the other hand, the online (or concurrent) BIST design eliminates the TPG and utilizes the system’s input vectors to accomplish the test process. This paper proposes a BIST design that supports both online and offline tests. In the online part of the design, a selector module passes the input vectors which belong to a pre-computed test set to the reduction part. The test set contains the test vectors, which generate 0 remainders in the division by the LFSR’s polynomial of the selector. In the concurrent test latency (CTL) aware design, the size of the test set is expanded by adopting the selecting part to select the test vectors which generate the same remainders in the division by two different polynomials. The internal TPG of the offline part is realized based on the HW-aware test set using the shifted versions of LFSR’s polynomial and XORing their contents. The reduction part compresses the widths of the current test vector and the related CUT outputs. The compactor LFSR compresses the test vectors so that the resulted remainders would be different for all test vectors to solve the masking problem. The small size of the test set and the compacting test vectors resulted in a tremendous reduction of hardware overhead. The proposed method imposes less than 6% and 28% hardware overhead for large size and very large size circuits, respectively. The simulation results for ISCAS 85, ISCAS 89, and ITC99 benchmark circuits showed that our proposed BIST design outperforms the previous state-of-the-art in both hardware overheads. Furthermore, the CTL reduces 100 times by the proposed CTL-aware approach on average.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11

Similar content being viewed by others

Data Availability

The datasets generated and/or analyzed during the present study are available from the corresponding author on reasonable request.

References

  1. Abramovici M, Breuer M, Friedman A (1990) Digital Systems Testing and Testable Design. Computer Science Press

  2. Acevedo O, Kagaris D (2015) On the computation of LFSR characteristic polynomials for built-in deterministic test pattern generation. IEEE Trans Comput 65(2):664–669

    Article  MathSciNet  Google Scholar 

  3. Askarzadeh M, Haghparast M, Jabbehdari S (2021) "Power consumption reduction in built-in self-test circuits." J Ambient Intell Humaniz Comput 1–14

  4. Biswas S, Das SR, Petriu EM (2006) Space compactor design in VLSI circuits based on graph theoretic concepts. IEEE Trans Instrum Meas 55(4):1106–1118

    Article  Google Scholar 

  5. Divyapreethi B, Karthik T (2015) “Input Vector Monitoring Concurrent BIST Architecture using Modified SRAM Cells", ARPN. J Eng Appl Sci 10(9):4042–4046

  6. Efanov DV, Sapozhnikov VV, Sapozhnikov VV (2017) "Conditions for detecting a logical element fault in a combination device under concurrent checking based on Berger’s code." Autom Remote Control 78(5):891–901

  7. Emara AS, Romanov D, Roberts GW, Aouini S, Ziabakhsh S, Parvizi M, Ben-Hamida N (2021) "An Area-Efficient High-Resolution Segmented Σ Δ-DAC for Built-In Self-Test Applications." IEEE Trans Very Large Scale Integr VLSI Syst 29(11):1861-1874

  8. Floridia A, Mongano G, Piumatti D, Sanchez E (2019) "Hybrid online self-test architecture for computational units on embedded processor cores." In 2019 IEEE 22nd International Symposium on Design and Diagnostics of Electronic Circuits & Systems (DDECS) 1–6. IEEE.

  9. Jahanirad H (2019) Efficient reliability evaluation of combinational and sequential logic circuits. J Comput Electron 18(1):343–355

    Article  Google Scholar 

  10. Jahanirad H, Karam H (2017) BIST-based Testing and Diagnosis of LUTs in SRAM-based FPGAs. Emerging Science Journal 1(4):216–225

    Google Scholar 

  11. Jahanirad H, Karam H (2018) "BIST-Based Online Test Approach for SRAM-Based FPGAs." In Proc. Iranian Conf. Electrical Engineering (ICEE), pp. 178–183

  12. Jurj SL, Rotar R, Opritoiu F, Vladutiu M (2020) "Online Built-In Self-Test Architecture for Automated Testing of a Solar Tracking Equipment." In Proc. IEEE International Conference on Environment and Electrical Engineering and IEEE Industrial and Commercial Power Systems Europe (EEEIC/I&CPS Europe), pp. 1–7

  13. Kochte MA, Zoellin CG, Wunderlich H-J (2010) Efficient Concurrent Self-Test with Partially Specified Patterns. Journal of Electric Testing 26(5):581–594

    Article  Google Scholar 

  14. Martínez LH, Khursheed SS, Reddy SM (2020) "LFSR generation for high test coverage and low hardware overhead." IET Computers & Digital Techniques 14(1):27–36

  15. Murugan SV, Sathiyabhama B (2021) "Bit-swapping linear feedback shift register (LFSR) for power reduction using pre-charged XOR with multiplexer technique is built-in self-test." J Ambient Intell Humaniz Comput 12(6): 6367–6373

  16. Nikitha SA, Paulin S, Venkateshwaran SP (2015) "A concurrent BIST architecture for online input vector monitoring." In Proc. International Conference on Science, Technology, and Management, pp. 1411–1488

  17. Pavlidis A, Louërat, MM, Faehn E, Kumar A, Stratigopoulos HG (2020) "Symmetry-based A/MS BIST (SymBIST): Demonstration on a SAR ADC IP" In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), pp. 282–285

  18. Pavlidis A, Louërat MM, Faehn E, Kumar A,  Stratigopoulos HG (2021) "SymBIST: Symmetry-Based Analog and Mixed-Signal Built-In Self-Test for Functional Safety." IEEE Trans Circuits Syst I Regul Pap 68(6):2580–2593

  19. Roth J (1966) Paul, “Diagnosis of automata failures: A calculus and a method.” IBM J Res Dev 10(4):278–291

    Article  Google Scholar 

  20. Saluja KK, Sharma R, Kime CR (1987) "Concurrent comparative testing using BIST resources." In Proc. International Conference on Comput Aided Des, pp. 336–339

  21. Saluja KK, Sharma R, Kime CR (1987) Concurrent comparative built-in testing of digital circuits. University of Wisconsin, Engineering Experiment Station

    Google Scholar 

  22. Saluja KK, Sharma R, Kime CR (1988) “A concurrent testing technique for digital circuits”, IEEE Trans. Comput Aided Design Integr Circuits Syst 7(12):1250–1260

    Article  Google Scholar 

  23. Sharma R, Saluja KK (1993) Theory, analysis, and implementation of an online BIST technique. VLSI Design 1(1):9–22

  24. Shivakumar V, Senthilpari C, Yusoff Z (2021) A Low-Power and Area-Efficient Design of a Weighted Pseudorandom Test-Pattern Generator for a Test-Per-Scan Built-in Self-Test Architecture. IEEE Access 9:29366–29379

    Article  Google Scholar 

  25. Voyiatzis I (2012) "Input Vector Monitoring Online Concurrent BIST based on multi-level decoding logic." In Proc. Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1251–1256

  26. Voyiatzis I, Efstathiou C (2013) Input vector monitoring concurrent BIST architecture using SRAM cells. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 22(7):1625-1629

  27. Voyiatzis I, Halatsis C (2005) "A Low-Cost Concurrent BIST Scheme for Increased Dependability," IEEE Trans Dependable Secure Comput 2(2):150-156

  28. Voyiatzis I, Paschalis A, Gizopoulos D, Halatsis C, Makri FS, Hatzimihail M (2008) An input vector monitoring concurrent BIST architecture based on a pre-computed test set. IEEE Trans Comput 57(8):1012–1022

    Article  MathSciNet  Google Scholar 

  29. Voyiatzis I, Paschalis A, Gizopoulos D, Kranitis N, Halatsis C (2005) A Concurrent Built-In Self Test Architecture Based on a Self-Testing RAM. IEEE Trans Reliability 54(1):69–78

    Article  Google Scholar 

  30. Wang R, Chakrabarty K, Bhawmik S (2015) Built-in self-test and test scheduling for interposer-based 2.5 D IC. ACM Transactions on Design Automation of Electronic Systems (TODAES) 20(4):1–24

    Article  Google Scholar 

  31. Wang C-H, Hsieh T-Y (2017) On the probability of detection lossless concurrent error detection based on implications. IEEE Trans Comput Aided Des Integr Circuits Syst 37(5):1090–1103

    Google Scholar 

  32. Wu TB, Liu HZ, Liu P.X, Guo DS, Sun HM (2013) A cost-efficient input vector monitoring concurrent online BIST scheme based on multi-level decoding logic. J Electron Test 29(4):585–600

Download references

Funding

There is no funding related to this study.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hadi Jahanirad.

Ethics declarations

Conflict of Interest

The authors declare that they have no known competing financial interests or personal relationships that could have appeared to influence the work reported in this paper.

Additional information

Responsible Editor: K. K. Saluja

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Menbari, A., Jahanirad, H. A Low-cost BIST Design Supporting Offline and Online Tests. J Electron Test 38, 107–123 (2022). https://doi.org/10.1007/s10836-022-05986-0

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-022-05986-0

Keywords

Navigation