Skip to main content

Advertisement

Log in

Performances and Stability Analysis of a Novel 8T1R Non-Volatile SRAM (NVSRAM) versus Variability

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Static Random-Access Memories (SRAMs) are an integral part of the chip industry, occupying a noticeable share of the memory market due to their high performance and compatibility with CMOS technology. Traditional SRAMs do not have the capacity to retain data after power-off, preventing their use in non-volatile applications. This paper presents a novel Non-Volatile SRAM (NVSRAM) device based on Resistive RAM (RRAM) technology. A comparison between SRAM and the proposed NVSRAM performances is proposed at both cell and memory array level. The comparison covers several metrics such as energy consumption, area and static noise margin (SNM). Moreover, this work proposes a deep analysis of the impact of RRAM variability as well as the CMOS subsystem variability on the NVSRAM performances. The proposed structure demonstrates robust NVSRAM performances in terms of stability and reliability despite RRAM variability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6.
Fig. 7.
Fig. 8.
Fig. 9.
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24

Similar content being viewed by others

Data Availability Statement

Data sharing not applicable to this article as no datasets were generated or analysed during the current study.  

References

  1. Abbas Z et al (2014) Impact of Technology Scaling on Leakage Power in Nano-Scale Bulk CMOS Digital Standard Cells. Microelectron J 45(2):179–195

    Article  MathSciNet  Google Scholar 

  2. Aziza H et al (2011) "Evaluation of OxRAM cell variability impact on memory performances through electrical simulations." Non-Volatile Memory Technology Symposium Proceeding

  3. Aziza H et al (2018) A lightweight write-assist scheme for reduced RRAM variability and power. Microelectron Reliab 88:6–10

    Article  Google Scholar 

  4. Aziza H et al (2018) Resistive RAMs as analog trimming elements. Solid-State Electron 142:52–55

    Article  Google Scholar 

  5. Bai Y et al (2014) "Study of Multi-Level Characteristics for 3D Vertical Resistive Switching Memory". Sci Rep 4(1)

  6. Banerjee A (2018)"Ultra-Low-Power Embedded SRAM Design for Battery-Operated and Energy-Harvested IoT Applications." Green Electronics

  7. Bazzi H et al (2018) "Design of Hybrid CMOS Non-Volatile SRAM Cells in 130nm RRAM Technology." 2018 30th International Conference on Microelectronics (ICM), Sousse, Tunisia 228–231

  8. Bazzi H et al (2018) "Novel RRAM CMOS Non-Volatile Memory Cells in 130nm Technology." ICCA, Beirut 390–393

  9. Bazzi H et al (2020) “Non-Volatile SRAM Memory Cells Based on ReRAM Technology.” SN Applied Sciences. 2(9)

  10. Bazzi H et al (2020) "RRAM-based non-volatile SRAM cell architectures for ultra-low-power applications." Analog Integr Circ Sig Process

  11. Benoist A et al (2014) "28nmadvancedCMOS resistive RAM solution as embedded non-volatile memory." In 2014 IEEE International Reliability Physics Symposium, pages 2E.6.1–2E.6.5

  12. Bocquet M et al (2014) Robust Compact Model for Bipolar Oxide-Based Resistive Switching Memories. IEEE Trans Electron Devices 61(3):674–681

    Article  Google Scholar 

  13. Bocquet M et al (2013) “Compact modeling solutions for OxRAM memories.” IEEE Faible Tension Faible Consommation. Paris 2013:1–4

    Google Scholar 

  14. Burr GW et al (2016) Recent Progress in Phase-Change Memory Technology. IEEE Journal on Emerging and Selected Topics in Circuits and Systems 6(2):146–162

    Article  Google Scholar 

  15. Cabout T et al (2013) "Temperature impact (up to 200oC) on performance and reliability of HfO2-based RRAMs." in Proc. IEEE International Memory Workshop V: 4–7

  16. Chang MF et al (2014) "Challenges at Circuit Designs for Resistive-Type Nonvolatile Memory and Nonvolatile Logics in Mobile and Cloud Applications." 2014 12th IEEE International Conference on Solid-State and Integrated Circuit Technology (ICSICT)

  17. Chiu P et al (2012) Low Store Energy, Low VDDmin, 8T2R Nonvolatile Latch and SRAM With Vertical-Stacked Resistive Memory (Memristor) Devices for Low Power Mobile Applications. IEEE J Solid-State Circuits 47(6):1483–1496

    Article  Google Scholar 

  18. Diokh T et al (2013) "Investigation of the impact of the oxide thickness and RESET conditions on disturb in HfO2-RRAM integrated in a 65nm CMOS technology." in Proc. IEEE International Reliability Physics Symposium 3–6

  19. Dou C et al (2017) "Challenges of Emerging Memory and Memristor Based Circuits: Nonvolatile Logics, IoT Security, Deep Learning and Neuromorphic Computing." 2017 IEEE 12th Int Conf ASIC (ASICON)

  20. Fantini A et al (2013) "Intrinsic switching variability in HfO2 RRAM." in Proc. IMW, Monterey, CA 30–33

  21. Gonzalez-Velo Y et al (2015) "TID Impact on Process Modified CBRAM Cells." 2015 15th European Conference on Radiation and Its Effects on Components and Systems (RADECS), Moscow 1–4

  22. Gurjar A et al (2011) An Analytical Approach to Design VLSI Implementation of Low Power, High Speed SRAM Cell Using Sub-micron Technology. International Journal of VLSI design & Communication Systems (VLSICS) 2(4):143–153

    Article  Google Scholar 

  23. Hajri B et al (2017) "Oxide-based RRAM models for circuit designers: A comparative analysis." International Conference on Design & Technology of Integrated Systems In Nanoscale Era (DTIS). IEEE

  24. Hajri B et al (2019) RRAM Device Models: A Comparative Analysis With Experimental Validation. IEEE Access 7:168963–168980

    Article  Google Scholar 

  25. Huang K et al (2014) A Low Active Leakage and High Reliability Phase Change Memory (PCM) Based Non-Volatile FPGA Storage Element. IEEE Trans Circuits Syst I Regul Pap 61(9):2605–2613

    Article  Google Scholar 

  26. Ishibashi K, Osada K (2011) "Low Power and Reliable SRAM Memory Cell and Array Design." Springer

  27. Joly Y et al (2010) "Impact of hump effect on MOSFET mismatch in the sub-threshold area for low power analog applications." International Conference on Solid-State and Integrated Circuit Technology

  28. Joly Y et al (2011) "Matching degradation of threshold voltage and gate voltage of NMOSFET after Hot Carrier Injection stress." microelectronics reliability 51.9–11: 1561–1563

  29. Kang W et al (2016) "Low Store Power, High Speed, High Density, Nonvolatile SRAM Design With Spin Hall Effect-Driven Magnetic Tunnel Junctions." IEEE Transactions on Nanotechnology 1–1

  30. Kingra SK et al (2017) "Stability Analysis of Hybrid CMOS-RRAM Based 4T-2R NVSRAM." 2017 15th IEEE International New Circuits and Systems Conference (NEWCAS)

  31. Kobayashi M et al (2017) "A Nonvolatile SRAM Integrated with Ferroelectric HfO2 Capacitor for Normally-off and Ultralow Power IoT Application." 2017 Symposium on VLSI Technology

  32. Li X et al (2017) Design of Nonvolatile SRAM with Ferroelectric FETs for Energy-Efficient Backup and Restore. IEEE Trans Electron Devices 64(7):3037–3040

    Article  Google Scholar 

  33. Majumdar S et al (2016) "Hybrid CMOS-OxRAM based 4T-2R NVSRAM with efficient programming scheme." 2016 16twh Non-Volatile Memory Technology Symposium (NVMTS), Pittsburgh, PA 1-4

  34. Mazreah A et al (2008) "A Low Power SRAM Base on Novel Word-Line Decoding." World Academy of Science

  35. Meena JS et al (2014) Overview of emerging nonvolatile memory technologies. Nanoscale Res Lett 9:526

    Article  Google Scholar 

  36. Nardi F et al (2012) Resistive Switching by Voltage-Driven Ion Migration in Bipolar RRAM Part I : Experimental Study. IEEE Trans Electron Devices 59(9):2461–2467

    Article  Google Scholar 

  37. Portal JM et al (2014) An Overview of Non-Volatile Flip-Flops Based on Emerging Memory Technologies. Journal of Electronic Science and Technology 12(2):173–181

    Google Scholar 

  38. Portal J-M et al (2017) Design and Simulation of a 128 kb Embedded Nonvolatile Memory Based on a Hybrid RRAM (HfO2)/28 nm FDSOI CMOS Technology. IEEE Trans Nanotechnol 16(4):677–686

    Article  Google Scholar 

  39. Seevinck E, List FJ, Lohstroh J (1987) Static-Noise Margin Analysis of MOS SRAM cells. IEEE J Solid-State Circuits 22:748–754

    Article  Google Scholar 

  40. Sheikholeslami A, Gulak PG (2000) A survey of circuit innovation in ferroelectric random access memories. IEEE Proc 88(5):667–689

    Article  Google Scholar 

  41. Sheu S et al (2013) "A ReRAM integrated 7T2R non-volatile SRAM for normally-off computing application." 2013 IEEE Asian Solid-State Circuits Conference (A-SSCC), Singapore 245-248

  42. Shreya S et al (2019) Differential Spin Hall Effect-Based Nonvolatile Static Random Access Memory for Energy-Efficient and Fast Data Restoration Application. IEEE Trans Magn 55(9):1–11

    Article  Google Scholar 

  43. Singh P et al (2017) Ultra-Low Power, Process-Tolerant 10T (PT10T) SRAM with Improved Read/Write Ability for Internet of Things (IoT) Applications. J Low Power Electron Appl 7:24

    Article  Google Scholar 

  44. Turkyilmaz O, “RRAM-based FPGA for normally off, instantly on applications.”, et al (2012) IEEE/ACM International Symposium on Nanoscale Architectures. Amsterdam 2012:101–108

    Google Scholar 

  45. Wei W et al (2014) Design of a Nonvolatile 7T1R SRAM Cell for Instant-on Operation. IEEE Trans Nanotechnol 13(5):905–916

    Article  Google Scholar 

  46. You W-X et al (2020) A New 8T Hybrid Nonvolatile SRAM with Ferroelectric FET. IEEE Journal of The Electron Devices Society 8:171–175

    Article  Google Scholar 

  47. Zhang Y et al (2015) "Read Performance: The Newest Barrier in Scaled STT-RAM." in IEEE Transactions on Very Large Scale Integration (VLSI) Systems. 23(6):1170–1174

  48. http://literature.cdn.keysight.com/litweb/pdf/B1500-90090.pdf

Download references

Acknowledgements

Authors would like to acknowledge the support of the Lebanese International University and Aix-Marseille University.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Hussein Bazzi.

Additional information

Communicated by M. Taouil.

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Bazzi, H., Aziza, H., Moreau, M. et al. Performances and Stability Analysis of a Novel 8T1R Non-Volatile SRAM (NVSRAM) versus Variability. J Electron Test 37, 515–532 (2021). https://doi.org/10.1007/s10836-021-05965-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-021-05965-x

Keywords

Navigation