Skip to main content

Advertisement

Log in

Mobile Networks-on-Chip Mapping Algorithms for Optimization of Latency and Energy Consumption

  • Published:
Mobile Networks and Applications Aims and scope Submit manuscript

Abstract

With the advancement in technology, it is now possible to integrate hundreds of cores onto single silicon semiconductor chip or silicon die. In order to provide communication between these cores, large number of resources are required and it leads to the communication problem in System-on- Chip (SoC), which is solved by introduction of Networks-on-Chip (NoC). NoC proves to be most efficient in terms of flexibility, scalability and parallelism. In this paper, the proposed mapping algorithms, Horological Mapping (HorMAP), Rotational Mapping (RtMAP) and Divide and Conquer Mapping (DACMAP) for mapping of tasks onto cores, basically concentrate on the optimization of latency, queuing time, service time and energy consumption of topology at constant bandwidth required. The experimental results discussed in this paper shows the comparison of proposed algorithms with traditional random mapping algorithm. In this paper, 2D mesh topology with XY routing is considered for the simulation of proposed algorithms.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22

Similar content being viewed by others

References

  1. Saleh R, Wilton S, Mirabbasi S, Hu A, Greenstreet M, Lemieux G, Pande PP, Grecu C, Ivanov A (2006) System-on-chip: reuse and integration. Proceedings of the IEEE 94 (6):1050–1069

    Article  Google Scholar 

  2. Dafali R, Diguet JP, Sevaux M (2008) Key research issues for reconfigurable network-on-chip, international conference on reconfigurable computing and FPGAs (ReConFig) Cancun, pp 181–186

  3. Bjerregaard T, Mahadevan S (2006) A survey of research and practices of network-on-Chip. ACM Computing Surveys 1 (1):1–51

    Article  Google Scholar 

  4. Liu S, Wang S, Liu X, Gandomi Amir H. , Daneshmand M, Muhammad K, de Albuquerque VHC (2021) Human memory update strategy: a multi-layer template update mechanism for remote visual monitoring. IEEE Trans Multimed, pp. 1–11

  5. Pavlidis VF, Friedman EG (2007) 3-D Topologies for networks-on-chip. IEEE Trans Very Large Scale Integr (VLSI) Syst 15 (10):1081–1090

    Article  Google Scholar 

  6. Benini L, De Micheli G (2002) Networks on chip: A new SoC paradigm. IEEE Computer 35 (1):70–78

    Article  Google Scholar 

  7. Marculescu R, Hu J, Ogras UY (2005) Key research problems in NoC design: a holistic perspective. Third IEEE/ACM/IFIP international conference on hardware/software codesign and system synthesis (CODES+ISSS), Jersey City, NJ, USA, pp 69–74

  8. Carvalho E, Marcon C, Calazans N, Moraes F (2009) Evaluation of static and dynamic task mapping algorithms in NoC based MPSoCs. International symposium on system-on-chip, tampere, pp 87–90

  9. Liu S, Wang S, Liu X, Lin Chin-Teng, Lv Z (2020) Fuzzy detection aided real-time and robust visual tracking under complex environments. IEEE Trans Fuzzy Syst 29 (1):90–102

    Article  Google Scholar 

  10. Wu N, Mu Y, Ge F (2012) GA-MMAS: an energy- and latency-aware mapping algorithm for 2D network-on-chip. IAENG Int J Comput Sci 39(1)

  11. Jang W, Pan DZ (2012) A3MAP: Architecture-Aware Analytic Mapping for Networks-on-Chip. ACM Trans Des Autom Electron Sys 17 (3):1 - 22. Article No. 26

    Article  Google Scholar 

  12. Tei YZ, Hau YW, Shaikh-Husin N, Marsono MN (2014) Network partitioning domain knowledge multiobjective application mapping for large-scale network-on-chip. Appl Comput Intell Soft Comput 2014:1–11

    Google Scholar 

  13. Fen GE, Ning WU (2010) Genetic algorithm based mapping and routing approach for network on chip architectures. Chin J Electron 19 (1):91–96

    Google Scholar 

  14. Wang X, Yang M, Jiang Y, Liu P (2009) Power-aware mapping for network-on-chip architectures under bandwidth and latency constraints. 4th International conference on embedded and multimedia computing, Jeju, pp. 1–6

  15. Murali S, De Micheli G (2004) Bandwidth-constrained mapping of cores onto NoC architectures, design, automation and test in Europe conference and exhibition 2:896–901

  16. Oliva D, Esquivel-Torres S, Hinojosa S, Pérez-Cisneros M., Osuna-Enciso V, Ortega-Sánchez N, Dhiman G, Heidari AA (2021) Opposition-based moth swarm algorithm. Expert Sys Appl 184:115481

    Article  Google Scholar 

  17. Subramanian S, Sankaralingam C, Dhiman G, Singh H (2021) Hysteretic controlled inter-leaved buck-converter based AC-DC micro-grid system with enhanced response. Materials Today: Proceedings

  18. Yang Peng-Fei, Wang Q (2014) Effective task scheduling and IP mapping algorithm for heterogeneous NoC-Based MPSoC. Math Problems Eng 2014:1–8

    Google Scholar 

  19. Le Q, Yang G, Hung WNN, Song X, Zhang X (2015) Pareto optimal mapping for tile-based network-on-chip under reliability constraints. Int J Comput Mathematics 92 (1):41–58

  20. Murali S, Coenen M, Radulescu A, Goossens K, De Micheli G (2006) Mapping and configuration methods for multi-use-case networks on chips. Asia and South Pacific conference on design automation, Yokohama

  21. Mehran A, Saeidi S, Khademzadeh A, Afzali-Kusha A (2007) Spiral : a heuristic mapping algorithm for network on chip. IEICE Electronic Express 4 (15):478–484

    Article  Google Scholar 

  22. Haque AB, Bhushan B, Dhiman G (2021) Conceptualizing smart city applications: Requirements, architecture, security issues, and emerging trends. Expert Systems

  23. Kothai G, Poovammal E, Dhiman G, Ramana K, Sharma A, AlZain MA, Gaba GS, Masud M (2021) A new hybrid deep learning algorithm for prediction of wide traffic congestion in smart cities. Wirel Commun Mob Comput 2021

  24. Marcon C, Calazans N, Moraes F, Susin A, Reis T, Hessel F (2005) Exploring NoC mapping strategies: an energy and timing aware technique, design automation and test in Europe. 1:502–507

  25. Celik C, Bazlamacci CF (2012) Effect of application mapping on network-on-chip performance. 20th Euromicro International Conference on Parallel, Distributed and Network-Based Processing (PDP) Garching, pp 465–472

  26. Jiawen W, Li LI, Zhongfeng W, Rong Z, Yuang Z (2014) Energy-efficient mapping for 3D NoC using logistic function based adaptive genetic algorithms. Chin J Electron 23 (2):254–262

    Google Scholar 

  27. Harmanani HM, Farah R (2008) A method for efficient mapping and reliable routing for NoC architectures with minimum bandwidth and area. Circuits and systems and TAISA conference, Montreal, QC, pp 29–32

  28. Houssein EH, Hussain K, Abualigah L, Elaziz MA, Alomoush W, Dhiman G, Djenouri Y, Cuevas E (2021) An improved opposition-based marine predators algorithm for global optimization and multilevel thresholding image segmentation. Knowl-Based Systems: 107348

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Gaurav Dhiman.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Kumar, A., Sehgal, V.K., Dhiman, G. et al. Mobile Networks-on-Chip Mapping Algorithms for Optimization of Latency and Energy Consumption. Mobile Netw Appl 27, 637–651 (2022). https://doi.org/10.1007/s11036-021-01827-0

Download citation

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11036-021-01827-0

Keywords

Navigation