Open Access
3 June 2021 Review of THz-based semiconductor assurance
John True, Chengjie Xi, Nathan Jessurun, Kiarash Ahi, Navid Asadizanjani
Author Affiliations +
Abstract

Terahertz radiation for inspection and fault detection has been of interest for the semiconductor industry since the first generation and detection of THz signals. Until recent hardware advances, THz systems lacked the signal quality and reliability for use as an effective nondestructive testing (NDT) method. Incremental advances in THz sources, detectors, and signal processing resulted in the successful applied-industrial use of THz NDT techniques on carbon fiber laminates, automotive coatings, and for detection of counterfeit pharmaceutical tablets. Semiconductor inspection and verification methods ensure the functionality and thereby safety of vital electronics for several critical industries. For this reason, the reliability and verification of a THz NDT method must exceed currently used inspection systems. With recent laboratory access to THz radiation, THz inspection methods are often compared with existing optical, electrical, and volumetric semiconductor verification techniques for their production monitoring and failure analysis viability. This review will cover THz techniques and their applications at the printed circuit board (PCB), integrated circuit (IC), and transistor/gate scales. The THz radiation gap spans between optical and electronic ranges with a millimeter-sized wavelength allowing for adequate penetration of plastic and ceramic and semiconductor materials. THz radiation can be used to determine structural features, electrical signatures in the THz range, and chemical information simultaneously. Cost and environmental limitations restricted the ability for THz NDT semiconductor inspection methods to escape the lab and succeed in the dynamic environment of a semiconductor fabrication environment. Hybridized metrology methods incorporating information from multiple inspection tools are a regime where THz spectral and structural data can be combined with existing methods such as optical, x-ray, or E-beam. THz can be used initially to offer support to the complex failure analysis and verification requirements of the semiconductor industry from nanoscale to macroscale features and components. For THz systems to become independent inspection tools used for semiconductor production monitoring, in the lab or fab, this will require a confident level of statistical process control for THz signal generation, detection, or processing. Applied industrial semiconductor device inspection will likely be a result of a combination of research into THz hardware, reconstruction techniques, and the widespread application of machine learning techniques. Many breakthroughs occurred over the years to enable successful nondestructive characterization and inspection of semiconductor devices from the nanoscale transistors to fully packaged integrated circuits and assembled PCBs.

1.

Introduction

1.1.

History of THz Adoption, Improvements, and Applications

1.1.1.

Terahertz hardware genesis (1970s to 2000s)

From the early development of the vacuum tube and thereafter transistor, THz radiation-based inspection methods were foreseen as an optimal tool for the semiconductor industry. This is primarily because electronic properties of semiconductor materials such as carrier concentration and mobility determine their dielectric properties at THz frequencies, allowing nondestructive, noncontact measurements.1 However, THz radiation has been out of reach for Earth-based science and applied inspection until the late 1980s. During this period, THz sources experienced breakthroughs in power and bandwidth, while lowering the cost and complexity of THz hardware opening the floodgates for widespread research across all fields. This progression was a result of the simultaneous development of femtosecond lasers by groups at Bell Labs and IBM resulting in low-cost systems available in university and commercial labs.25 Faist et al.6 created a quantum cascade laser (QCL) that enabled peak power in excess of 8 mW. The spectral coverage of QCLs was extended to the THz region7 and continuous wave (CW) operation of a QCL at room temperature.8

1.1.2.

THz time-domain spectroscopy (1990–2005)

Thereafter, THz researchers utilized the time delay of the signal passing through semiconductor substrates such as silicon, GaAs, and Ge to determine the absorption coefficient and refractive index of materials in 1990, critical for semiconductor wafer quality analysis.5 This time delay method known as THz-time-domain spectroscopy (TDS) would be applied in 1995 to THz internal imaging for package inspection,9 in addition to tomography and noncontact hall effect characterization in 1997.10 In 2000, Picometrix created a fiber-coupled THz system providing flexibility for researchers to position antenna quickly and while offering stability in system operation bringing THz hardware into many labs.11 2002 brought about analysis of nanomaterials using laser-based system by Beard et al.12 and noncontact measurement of silicon wafer carrier concentration and mobility through THz transmission.13 In 2006, THz-TDS was used to determine dielectric and conductive properties and of a thin VO2 film during a phase transition between metallic and semiconductor states.14 This same year, a waveguided TDS technique resolved some of the fundamental problems associated with standard THz TDS and successfully characterized a highly phosphorus doped silicon wafer in reflection, as transmission method becomes ineffective for highly conductive materials due to a much larger sample thickness than thin films.15

1.1.3.

THz inspection below the diffraction limit (2005–2015)

Chen et al. demonstrated 150-nm spatial resolution on semi-insulating silicon using a scattering scanning near field optical microscopy (s-SNOM) tip-based system16 in the near field, where THz wavelength is not the limiting factor. In addition, THz was directly applied to nondestructive testing (NDT) of electrical faults inside integrated circuits (ICs) using laser terahertz emission microscopy (LTEM) without sample preaparation.17 THz and laser imaging were used to analyze IC and printed circuit board (PCB) circuitry and solder joints hidden behind plastic packaging in 2004.18 Yamashita et al.19 derived an LTEM method for THz imaging of an 8-bit microprocessor chip under bias with a spatial resolution of 3  μm building upon the tip-based near-field methods derived previously. Wilk et al.20 and Sartorius et al.21 made improvements upon laser-based systems demonstrating a cost-effective THz-TDS system at 1.55  μm for THz spectroscopy;, 2004 to 2011 were years of many metamaterials-based waveguide techniques improving how THz radiation is detected and generated with higher signal to noise and gain.2224 THz emission from photoexcitation of an IC with 1-μm spatial resolution was demonstrated on a VLSI in 2011.25 Nondestructive analysis circuit/antenna for a smartcard inside of a smart card was performed with THz imaging in 2013.26 THz-TDS was used for bond-wire dimensional analysis of a simple IC package using the commercial TPSTM spectra 3000 THz imaging and spectroscopy system in 2014.27

1.1.4.

Signal processing, quality assurance, machine learning (2015–2020)

Near-field imaging using THz ultrafast, ultrabroadband (0.3 to 20 THz) THz spectroscopy on the subnanoparticle scale of a nanowire (NW) was demonstrated in 2014.28 In addition, microfabrication techniques such as lithography were utilized in 2015 to fabricate nanoscale structures such as microelectromechanical systems (MEMS) to enable nanoscale waveguide techniques for THz modulation, where the current limitation of signal generation and detection is appropriate beam guiding.29 Far-field THz imaging was used in 2016 to detect authentic and counterfeit ICs nondestructively based on structural and chemical information about the sample.30 Signal processing based on THz beam propagation through a simulated point spread function (PSF) was performed by Ahi to accurately model variables incorporated into a Gaussian beam distribution. This method was used to enhance the spatial resolution of an IC internal features for quality assurance.31 THz has been combined with machine learning techniques and advanced wavelet denoising based on Ahi’s PSF technique to semiconductor inspection in 201932,33 and 2020.34

1.2.

THz Inspection for Research and Development of New Technologies

THz inspection for semiconductor materials can be generalized into counterfeit detection of ICs via spectroscopy or signal processing, failure analysis (FA) of circuitry and components known as defect localization, thin film measurements using THz spectroscopy, and solar cell characterization for third-generation solar panels.

1.2.1.

2D materials

THz radiation is closely linked to cutting edge research on fabrication of nanostructured semiconductor materials35 from thin film two-dimensional (2D) materials such as graphene to advanced solar cells such as perovskite.3643 These THz inspection advances and their physical understandings are critical to the development, characterization, and implementation of new technologies for transistors, p-n junctions, and sensors. However, 2D materials are not yet produced in high volume manufacturing (HVM) and are thus of less interest for the NDT inspection and hardware security industry.

Research and development methods for cutting edge device FA often involve destructive methods, where THz is less advantageous over other inspection methods for its ability to penetrate samples. As advanced 2D materials become viable competitors for gate all around (GAA) and NW-based transistor technologies, advanced THz methods, will augment or replace critical metrology tools for in-line monitoring of the thousands of process steps used in fabrication of billions of connected transistors. The integration of 2D materials into current semiconductor fabrication lines is already being successfully explored at scale and low cost for graphene in 2019,44 with the possibilities of graphene technologies for electronics detailed in Fig. 1. The convergence of THz radiation and nanotechnologies, such as graphene, was reviewed by Lawler et al.45

Fig. 1

Potential application fields for graphene and related 2D materials where wafer-scale integration is required. Applications addressed mainly by graphene are colored light red and applications addressed by TMDs are colored green. RF, radiofrequency; EMI, electromagnetic interference. Reprinted with permission from Ref. 44 Springer: Nature Materials.

OE_60_6_060901_f001.png

1.2.2.

Advanced solar cells

First-generation solar cell technologies based on silicon (1.1-eV bandgap) are limited by the Shockley–Queisser limit and have maximum efficiencies of 33.7% with a 1.37-eV bandgap. Advanced third-generation solar technologies are more efficient, sustainable, and are poised to replace second-generation thin film and first-generation silicon technologies.46 HVM and widespread third-generation solar adoption require a high percentage yield to mitigate increased production costs compared to silicon, and Perovskite solar cells and other third-generation technologies will require advanced process monitoring such as THz near-field inspection to maintain low cost of production at high yields. Although THz metrology will play a key role in solar cell FA and monitoring, advanced solar manufacturing is often vertically integrated and does not present the same supply chain vulnerabilities as those of other logical operator type devices for the end user. Nakanishi et al. presented an LTEM as a tool for evaluating solar cells, where LTEM images are obtained by exciting a polycrystalline silicon solar cell with femtosecond laser illumination, visualizing the local distribution of the optical response,47 and compared this LTEM method with conventional inspection methods in 2015.48 Tonouchi49 reviewed how LTEM is currently applied to solar wafer analysis in 2019.

THz metrology advances in the areas of thin films and solar cells as they relate to the in-line inspection of current HVM devices such as transistors and p-n junctions will be detailed in Sec. 6.2. Understandings of fundamental semiconductor dynamics at the atomic and interface level are critical to implementation of techniques for accurate analysis of macro-sized features of wafers, ICs, and PCBs.

1.3.

Scope

Terahertz (THz) technology is a rapidly advancing field, and there have been many reviews covering industrial, medical, and research uses.1,50,51 This review will present and highlight traditionally used semiconductor inspection methods and detail how THz methods compare in terms of advantages and disadvantages for the near future. There are many well-cited and comprehensive reviews on individual semiconductor devices. THz optoelectronics covered many useful THz topics in 2005, but specifically THz radiation from semiconductor surfaces were presented in detail by Gu and Tani.52 Tonouchi53 highlighted THz applications semiconductor and other industrial applications for security and medicine.

In 2011, carrier dynamics in semiconductors studied with time-resolved THz spectroscopy was presented by Ulbricht et al. highlighting applied inspection methods for determining spectral signatures of different semiconductor quasiparticles, phonon resonances, and intraband transitions in low-dimensional systems. THz was displayed as an optimal contact-free and noninvasive method suited to probe the conductivity of, in particular, nanostructured materials that are difficult or impossible to access with other inspection methods.54

In 2017, the THz roadmap was established by an invited a group of international experts detailing 18 sections that cover most of the key areas of THz science and technology.55 Important sections relevant to semiconductor inspection are summarized as:

Schmuttenmaer presented THz spectroscopy of semiconductors and semiconductor nanostructures, covering single particle studies, broad bandwidth limitations, spatial resolution, nonlinear optics, and the challenges to overcome.

  • In order for THz to become a viable inspection method for semiconductor nanoscopy, a consensus on which phenomenological model is best and most appropriate for nanostructured semiconductors is required.

  • This will require very high-quality data sampling, in terms of spatial and spectral resolution, that is ultrabroadband so that an appropriate model that best fits the data will be unambiguous when compared to empirical data.

Zeitler et al. covered NDT and molecular spectroscopy, detailing the current challenges for THz-TDS inspection due to penetration depth and hardware-limited imaging systems.

  • Signal processing routines are not fast enough to enable real-time analysis of THz-TDS data.

  • THz-based imaging systems are too slow for in-line inspection and thus far in-line sensing at industrial process scale has only been demonstrated for certain industries such as pharmaceuticals and coating analysis.

  • To compete with other structural imaging techniques, such as optical coherence tomography, THz imaging systems will need to increase their acquisition speed by two to three orders of magnitude.

Cumming et al. detailed the components for THz imaging, and the hardware such as CW, pulsed, and focal plane arrays and the limitations for scaling systems into the real world.

  • Research is required to improve every attribute including, but not limited to, source power, THz bandwidth, operating temperature, responsivity and NEP, and array size where deployed.

  • Improvements in these basic component measures will lead to imaging systems with improved frame rate, resolution, dynamic range, stand-off distance, and reduced size and weight.

  • It is likely that semiconductor technologies will play a vital role, and in particular, we highlight potential advances in semiconductor laser sources and CMOS-based detector technologies.

  • There will also be a need for further advances in passive components based on antenna, lens, and metamaterial theory, design, and implementation.

Castro-Camus reviewed photoconductive devices for THz time-domain spectroscopy; the history of how the bandwidth, power, and reliability of these devices improved with the use of semiconductor materials and contact structures and what challenges lie ahead such as fabrication cost.

  • The one of the most important technological challenge that photoconductive emitters face these days is their cost-effective large-scale production viability. Low-temperature GaAs (or InGaAs) is still the most widely used material for photoconductive devices; however, its fabrication has two major disadvantages. First, the semiconductor properties are difficult to reproduce, even when grown under the same nominal conditions in the same reactor. Second, its growth requires being performed in molecular-beam-epitaxy machines, which is an expensive method for large-scale production of THz devices.

  • Although some approaches such as the incorporation of nanometric gaps could improve significantly the performance of photoconductive detectors and eliminate the need for short-carrier-lifetime materials, their large-scale production also requires very high-resolution fabrication techniques such as electron beam lithography, which is also unlikely to be implemented for large scales cost effectively.

  • Although the use of extreme-UV photolithography is still relatively restricted to complex microcircuit production, this kind of technology is capable of mass production of devices with features in the scale of 10 nm, which would be ideal for the fabrication of some of structures such as plasmonic nanostructures.

  • The viability of fabricating these devices at industrial scales is an issue still being addressed, but promising solutions can be foreseen in the years to come.

PCA-based devices are fabricated using semiconductor device processes such as ion implantation for doping, which can greatly affect the PCA THz signal and spectral quality for spectroscopy.56 Burford and El-Shenawee57 provided a collection of literature on the current state and remaining challenges of THz PCA technology as of 2017.

A perspective was shared by Mittleman58 detailing the access nonlinear optical effects in the THz range and resultant methods to probe nanoscale phenomena. This perspective translated into a review of THz imaging techniques in 2018, with specific semiconductor applications.59 THz imaging and sensing was reviewed in 2019, specifically hardware based on silicon technologies.60

In 2019, advances in THz solid-state physics and devices offered an overview of the most active research areas currently under investigation in the broad field of THz solid-state devices, further unveiling the properties of these materials on an ultrafast timescale.61 In relation to semiconductor inspection, the challenges involved in achieving real world applications are presented, for example, THz NDT inspection of oxidized silicon demonstrating that the potential of a semiconductor covered by an insulator which can be charged by the corona charging setup, used to tune the surface potential of the semiconductor without electrical contacts, can be evaluated successfully by assessing the THz emission.62

A summary of applied near-field techniques for semiconductor materials is presented at the introduction of Sec. 6. Details covering nondestructive technology NDT reviews for THz inspection is covered in Sec. 3.

Bibliometric analysis of the THz field by Li et al. in 202063 is critical to demonstrate the connections between all fields of THz research. The connections between THz hardware and the applied research can be demonstrated visually and graphically. This is especially helpful and important for understanding how semiconductor fabrication techniques relate to advances in THz hardware devices. A bibliographic review was provided by Lewis64 at the introduction for his review on THz source hardware, graphically demonstrating that the number of THz research papers has reached exponential growth. Liu65 reviewed over 10,000 papers and 60 patents in 2012, covering THz technology.

Section 1 presents the history for THz NDT electronics inspection and a summary of the existing reviews, perspectives, and roadmaps on semiconductor inspection methods. In Sec. 2, this review will introduce the semiconductor supply chain and the most pressing hardware security vulnerabilities at all device scales: transistor, IC, and printed circuit board. Section 3 will summarize the hardware and terminology for THz NDT inspection in the context of applied electronics inspection. Section 4 will detail currently applied THz inspection methods that are relevant to the FA and hardware verification community at the transistor, IC, and PCB scales.

2.

Motivation for Hardware Verification and THz NDT Methods

2.1.

Semiconductor Supply Chain Vulnerabilities

End-user electronics such as smartphones, personal computers, and industrial equipment operate upon the on/off switching of many transistors combined to perform operations. These logical operations of transistors can be designed and fabricated by the front end of line (FEOL) processes shown in Fig. 2(c). These transistors and their back end of line (BEOL) connections can be incorporated into an application-specific integrated circuit (ASIC) for use in an end-user device shown in Fig. 2(b). After many years of innovation, there are many general ASIC designs that have widespread uses and can be bought off the shelf (COTS), colloquially known as ICs. These IC “die” can be mass manufactured upon a semiconductive substrate, often silicon wafer, through many process steps such as deposition, lithography, and etching. This fabrication step is demonstrated as stages 1 and 2 in Fig. 3. After many production steps these IC dies can be cut, stage 3 Fig. 3, from the wafer, packaged, tested, stage 4 Fig. 3, and connected to the outside world. This packaging step is where the epoxy molding, sometimes ceramic for RF applications, encapsulates and protects the die. External connections such as pins, leads, or ball grid arrays (BGA) enable electrical connectivity from the miniature die to other macrocomponents and devices. Stage 5, Fig. 3 represents a vulnerability for modification in the supply chain as devices are sent to inventory, until needed for final integration. Modern devices are often comprised of a series of ICs integrated at stage 6 Fig. 3; with various functions from memory, communication, and processing, all connected together by the copper circuitry and substrate of a PCB shown in Fig. 2(a) ready for distribution, stage 7 Fig. 3.

Fig. 2

(a) Assembled printed circuit board (PCB) composed of multiple passive and active components such as (b) integrated circuits (ICs), seen in cross-section depicting the die connection to the PCB substrate via the C4 solder joint. In (c) Front end of line (FEOL) & Back-end of line (BEOL), a cross section depicts the FEOL transistors connectivity through the metal layer connections to the C4 solder bumps. Figures adapted from Ref. 66.

OE_60_6_060901_f002.png

Fig. 3

Global semiconductor market as of 2016, published in 2018 by SIA adapted from semiconductors.org. Source: UN Comtrade and Taiwan Customs Administration, Ministry of Finance; Year of 2014.

OE_60_6_060901_f003.png

Defects, inclusions, or malicious modifications from the transistor to the assembled device can present a reliability and functionality issue for end-users. Designers implement “design for manufacturing” criteria enabling complex device designs from transistors, ASICs, and multilayer PCBs to be tested effectively during and after production, while mitigating intellectual property (IP) vulnerabilities due to outsourcing. Manufacturers take many steps during production to mitigate defects and prevent faulty devices from reaching market. Test-houses perform inspection to ensure all devices exhibit a specified level of performance and functionality to ensure a device will operate over its entire life cycle.

2.2.

Hardware Threats

2.2.1.

Hardware Trojan

A hardware Trojan is defined as a malicious, intentional modification of a circuit design that results in undesired behavior when the circuit is deployed.67 However, such globalization grants third-party players access to advanced technologies that are manufactured for critical infrastructures.68 Therefore, outsourcing introduces vulnerabilities in the PCB supply chain for adversaries to exploit. The main issues in 3D packaging are the quality, the density of vertical interconnects, electrical characteristics, mechanical characteristics, thermal characteristics, availability of design tool kits, reliability, testability, rework, NRE cost, packaging cost, availability of known good die (KGD), and fabrication time.69 Guin et al.70 presented the various counterfeit types currently present in the supply chain, followed by a taxonomy of counterfeit detection methods which describes existing capabilities for counterfeit detection. In addition to common physical and electrical inspection methods along with counterfeit avoidance measures to detect these counterfeit components proactively, rather than reactive manner after an attack. Dong et al.71 surveyed the state of the art for HTs, demonstrating threats, and the methods for preventing and detecting hardware Trojans.

There are four developments that will make hardware verification and inspection difficult, if not impossible at the leading edge: NLV computing, new materials, three-dimensional (3D) integration at the package level, and 3D integration at the die level.72 Trojan detection approaches typically attempt to detect the existence of Trojans at an IP level using presilicon techniques or using nondestructive techniques during postsilicon manufacturing test through a trust validation process.73 Due to decreasing transistor technology node sizes reaching 5 nm at advanced nodes, complex destructive FA techniques such as focused ion beam (FIB) and nanoprobing with mechanical prober in SEM or atomic force prober have shown success to improve the FA success rate. Probing is one of the technique that can measure the performance of transistor, resistance between two metal lines, confirmation of blown and unblown fuse and other passive component such as resistor, capacitor leakage current measurements.74

2.2.2.

Printed circuit board

Hardware-based attacks are compromising to underlying systems, as the most vulnerable component can enable a conduit for malicious activity. This can be due to a passive component, counterfeit IC, or simply an altered PCB trace. PCBs are the carrier for many components, each of which can be defective or malicious, enabling a physical interconnection between compromised components. PCB design is performed with electronic design automation tools typically developed by software companies in North America, and PCB design can be expected to be trusted. PCB physical assembly has high operational and environmental costs, and the stringent labor and environmental controls of the US resulted in outsourced production. This allows for the lowest cost of production for HVM and fastest time to market. This offshore production presents an opportunity for a manufacturer to modify or duplicate a device for malicious purposes. Software attacks such as ransomware can cause havoc for critical infrastructure, but these can be mitigated in real-time via secure monitoring or prevented moving forward with a patch or software fix. Hardware as opposed to software has less control over secure monitoring, as devices are often tested and then distributed to end-users. Hardware patching can be impossible as it will require regaining physical access to a device, and this can be impossible in certain assembly and products such as servers and industrial equipment. Though hardware attacks are most difficult to carry out because they require more time and resources, they can be more disastrous, because they can provide long-term covert access undetectable without physical inspection methods such as x-ray. “The Big Hack” incident, published on October 2018 by Bloomberg Businessweek, is a prominent and recent example of one such hardware attack on a computer server’s PCB control board and is described in Ref. 75 along with the PCB supply chain vulnerabilities. As the automated recycling of PCBs,7678 especially critical infrastructure such as medical components,79 this presents an unprecedented opportunity for recycled PCBs and ICs to return to market or fall vulnerable to reverse engineering.80

2.2.3.

Integrated circuits

Modern devices are different in many ways compared to the early home computer motherboards, in terms of performance, functionality, and form factor. One large design difference is how an advanced device now operates, instead of a distributed brain of ICs working together, computers, smartphones, smart-TVs now are controlled by a system on chip or SoC. This single, highly integrated chip is the result of Moore’s law bringing separate systems such as processing and memory into the same package. Memory and processing were integrated into the Intel 80286 16-bit microprocessor in 1982, and the form factor was no longer limited by what could be integrated into a PCB motherboard, but now the IC die itself became a new platform for packing system together. Advanced SoCs can be found in smartphones, smart TVs, and industrial equipment where a CPU core complex, plus dozens of peripherals, including analog, RF, and power components are integrated together. This SoC shift led to the system in package or (SiP) where an SoC, RAM, and potentially FLASH memory die all packaged like a normal IC.

Modern SoCs are exceedingly complex in their design, bugs, and IP disarrangements between third parties that provide video decoding, graphics acceleration, and cryptography functionalities built into the chip. The extreme complexity of an SoC and its various functional “blocks” result in contracting or licensing the SoC blocks as opposed to designing an entire SoC in house. Aside from the unknown third-party IP functions that can contain bugs or malicious functions, an SoC often contains disused silicon for planned peripherals that were designed-in but did not make the final design due to firmware or fabrication compatibility issue. This unused silicon real estate is a manifestation of subtractive as opposed to additive manufacturing for silicon. What is contained in this excess silicon area is often unknown to many SoC designers as the area is often utilized by SoC manufacturing entities to assist in process control for maintaining high yield. A large issue for the hardware security industry is that unknown silicon regions often contain internal debugging facilities or preboot facilities but these spare silicon features are not well understood by the chip designers themselves. This leaves a large vulnerability for all modern devices as many operate upon SoC.

SoCs are built upon 3D architectures where multiple ICs are integrated together, and these advanced packages are changing the architecture for ICs in addition to the supply chain. The complexity of the architecture and supply chain vulnerabilities could result in new types of hardware Trojan attacks.81 As 2D packages and their typical functional tests are updated for use with 3D architectures, this will require new considerations at both the prebond and postbond test steps. Existing functional test approaches are applicable to prebond tests (wafer test for the silicon die). Postbond tests (package test after die assembly into the package) are needed to target potential hardware Trojans that can manifest due to 3D integration.

In Ref. 82, Tehranipoor et al. (1) compiled a comprehensive taxonomy of counterfeit types, detection methods, and defects; (2) reviewed the currently available counterfeit detection methods as of 2015; and (3) presented a methodology that specifies critical test coverage methods in relation to the requirements. Counterfeit devices with malicious modifications can result in undesired functionality of an IC or allow sensitive information to be leaked through side channels or back-doors.74

The same inspection methods that are able to ensure security can also be implemented to duplicate a design through reverse engineering. X-ray methods based on synchotron sources are able to quickly image an entire IC and be used to reverse engineer an IC design with extreme precision.83 The scaling laws84 for ptychographic imaging will enable a spatial resolution of 2 nm, leading to the possible inspection of an entire 0.3×0.3  mm2 IC at 50 nm resolution in 3 h.85

Combining multiple ICs together makes any single failure of one component much more costly, and additional care must be taken to ensure traceability and tracking of all the parts of a modular chipset such as an SoC from the silicon wafer through production to the final tested die and package.

2.2.4.

Transistor and gate level threats

Hidden malicious circuits at the transistor level provide an attacker with a stealthy attack vector. Hardware modifications can occupy a layer below the entire software stack, enabling malicious circuits to bypass traditional defensive techniques.86 In 2008 at the University of Illinois at Urbana-Champaign, researchers designed a small backdoor circuit that gave access to privileged regions of chip memory. This was accomplished via including a login backdoor based on hardware that gives an attacker complete and high-level access to the machine. This login attack required only 1341 additional gates: gates that could have been used for other attacks as well. The Trojan could be used to change the process identification number of malicious software, allowing attackers to perform any operation and access any data they wish with root access. Incorporation of this Trojan added <1000 transistors to the 1.8 million designed into the original chip, an increase of just 0.05%. Recent research suggests that even slight adjustments to the electrical properties of existing transistors in a design could compromise security.87 Subtransistor-level hardware Trojans can achieve this compromised security without the need for additional gates but simply through modification of dopant masks. Researchers demonstrated inserting Trojans into the design of a random number generator meant to encrypt and prevent tampering. The Trojan worked by not adjusting the logic value of any gate but instead changing only the power profile of two gates through altered dopant masks. Hardware verification methods such as side channel analysis are not possible without Trojan design knowledge, where the owner of the Trojan can use his knowledge of the Trojan power model to establish a hidden side-channel that reliably leaks out secret keys.88 High device density limited the ability to effectively characterize doping concentrations across an entire transistor designs due to the slow scanning speed of tip-based methods such as AFM. SEM imaging is fast, however, requires destructive methods to interrogate the transistor with electrons. There is a need for higher speed inspection for all transistors in a device to prevent Trojan insertion, which becomes difficult as consumer smartphones now have systems on chip SoC with 11.8 billion transistors, expecting to ship 160 million of these devices. Automated inspection workflows involving sample preparation to access transistors have been developed but are not adequate for widespread device verification.89

2.3.

Hardware Verification Techniques and Countermeasures for Hardware Trojans

The primary goal for semiconductor FA techniques is to identify the root cause of a production error or unexpected inclusions that can alter the expected electrical and reliability performance affecting the overall yield or life cycle operation. More stringent automotive standards from SAE and ISO J3061 and 21434 address cybersecurity for the transportation industry for example. These standards increase the requirements for companywide cybersecurity protocols along with increasing the verification effort on critical components. Across end-user electronics industries from the internet of things to industrial or infrastructure electrical equipment, there is an increase in the verification standards, causing issues for fabricators facing production slowdowns.

The characterization of a defect or error is best achieved through NDT methods as the destructive process does not introduce added artifacts or remove defect information. For this reason, typical FA workflows start with NDT methods, and then once the maximum information is collected, more destructive methods are employed such as polishing or sectioning. X-ray imaging and C-mode scanning acoustic microscopy (C-SAM) are examples of currently effective volumetric methods for IC and PCB NDT FA. Destructive methods are based on isolation of the defect location and then methodically remove enough material via FIB, polishing, or etching, to adequately characterize the electrical features of interest via nanoprobing, optical, or E-beam techniques.

The identification of defective connections and proper operation is a focus for manufacturers before an IC die is validated and encapsulated by an epoxy molding. Electronics assembly houses for PCBs focus on ensuring their IC components are legitimate before integration through optical verification of surface text, electrical testing of external pins, or volumetric methods such as x-ray, infrared thermography, surface acoustic waves, scanning acoustic microscopy, or magnetic current imaging. The nanoscale features and layers of ICs and PCBs are often below the spatial resolution of commonly used NDT methods to resolve structural information. This has resulted in the need for destructive cross sectioning to achieve the necessary resolution, but this process introduces damage to the sample possibly limiting characterization. IC die and advanced package feature sizes and their defects are no longer able to be characterized with SEM alone and must be analyzed via complex FIB/TEM FA workflows. Complex multilayer PCBs are not able to be characterized with x-ray due to metallic noise and streaking during imaging due to tin solder, copper wiring, and aluminum surface-mounted components. While destructive approaches are able to verify an entire device with confidence, these are not scalable to all devices, NDT are effective but increased device density has limited spatial resolution of volumetric methods. Design for security implements additional costs for production, while run time monitoring is unable to protect against all threats. A depiction of a taxonomy on countermeasures to mitigate hardware defects or modifications is shown in Fig. 4.

Fig. 4

Overview of different protection approaches against hardware Trojan attacks.73 Copyright © 2014 IEEE. Reprinted with permission from Proceedings of the IEEE.

OE_60_6_060901_f004.png

2.3.1.

Presilicon

In a perfect verification workflow, an intrusion should be detected before it is able to manifest into failure of the device or a hardware Trojan attack. During presilicon testing, it is possible to detect an intrusion in the circuit design during the design verification process through testing the functionality of a design before sending for production using simulation software such as EDA tools or through programmable hardware such as Field Programmable Gate Arrays. This functional verification then goes onto physical design and verification where the foundry determines if the functional design can be feasible fabricated via a prototype recipe that passes device performance specifications. Although presilicon detection might appear to prevent end-user data leakage or system maloperation, a hardware Trojan HT may be configured to avoid presilicon testing altogether. For example, an HT may be inserted in an IP core provided as an RTL model where it is only designed to activate after it has been shipped to the end-user via a payload or timed Trojan.

Fig. 5

List of current imaging techniques used for semiconductor components. (a) Imaging speed: the time cost of taking one image. (b) Magnification. (c) Image dimension. (d) Cost is estimated based on the online available commercial products. (*) Price provided by Google Shopping. Table adapted from Ref. 75.

OE_60_6_060901_f005.png

2.4.

Postsilicon

These methods include manufacturing test, silicon validation, and system test and validation, where issues during production are detected. Postsilicon methods are used to validate the final assembly of a device. This is not performed with one test method but occurs as part of a manufacturing testing workflow to prevent cascading of errors during process steps. These postsilicon methods often include in-line (part of the assembly line) inspection tools that can nondestructively analyze the success of the previous production step and quickly detect any defects or errors without limiting the speed of the “line” (Fig. 5). Due to the fast-paced production speed of a high-volume manufacturing, the in-line tests must be capable of high confidence at high speeds. In-line testing prevents process errors and characterizes device quality and performance. Off-line methods, outside of the production workflow, are required to find the root cause and mitigate an error found by in-line testing or after production. These can include destructive methods to isolate a defective region through cross sectioning to achieve better access for a metrology method such as SEM, TEM, or electrical probing. Final postsilicon testing might involve the random selection of a statistically significant portion of a batch for destructive testing to ensure the quality of the rest of the untested batch. For this reason, postsilicon test methods that incorporate testing of each device enable a higher level of confidence in device functionality. While the design of an IC device is still mainly performed by entities in North America, the fabrication often occurs in the untrusted environment of the Asia-Pacific region. Presilicon can be used to protect malicious design but not prevent production issues, for this reason postsilicon testing has become a requirement due to the numerous vulnerabilities during the production phase. Tables of common semiconductor test methods at the PCB in Fig. 27, IC NDT methods in Fig. 25, and transistor scale inspection methods for ICs in Fig. 26 are provided in the Appendix.

2.4.1.

Split manufacturing

A design for trust countermeasure is not able to protect the IP of a device. Split manufacturing offers the ability to safely outsource production by preventing inclusions at a certain production step or to protect IP. The premise of split manufacturing is to segment an IC netlist into multiple regions and separately fabricate each part at a different foundry. In addition to the protection of IP, the outsourcing of production to an untrusted environment lowers the cost of fabrication as opposed to contracting a trusted foundry. As technology nodes have progressed to the current 5 nm, trusted foundries such as Intel or global foundries have been unable to maintain competitive advantage. For this reason, military designs often rely on split manufacturing to fabricate cutting-edge transistors by TSMC or Samsung at the FEOL, and BEOL metal, and RDL are performed by an in-house or trusted foundry to connect the arbitrary sea of transistors together preventing reverse engineering of complex designs.

3.

THz Hardware for NDT

THz radiation is characterized as the wavelengths in the range between 3 mm and 30  μm, and this region lies between the frequencies of microwaves and infrared shown in Fig. 6. The “THz gap” is a common term encapsulating the dark age of THz research when there was an inability to generate and detect THz signals with adequate signal-to-noise ratio (SNR). With advances in semiconductor hardware and high-speed signal processing, THz NDT technology has been researched and implemented into robust competitive solutions for industry and reviewed in 2017,1 2019,91 and 2020.51 There are several applications of THz NDT methods where THz methods replaced previously used inspection systems in marine coatings, automobile paint, and pharmaceutical quality monitoring.

Fig. 6

Applications and range of frequencies available from the sub-THz regime up through and beyond the optical spectrum and shows how mmWaves and THz frequencies are three and two orders of magnitude, respectively, below the frequencies of visible light.90 Copyright © 2019 IEEE. Reprinted with permission from IEEE Access.

OE_60_6_060901_f006.png

THz imaging has a higher spatial resolution but lower penetration than ultrasound imaging, while not requiring contact with the sample. X-ray imaging is commonly used for NDT analysis; however, the ionizing radiation and high maintenance costs have positioned THz radiation as a competitive nonionizing and low cost of operation tool. Microwave systems have a lower spatial resolution than those of THz as the wavelength is shorter, while the spectral region of THz is where fingerprints of material’s appear due to specific molecular vibrations. In comparison to infrared (IR), THz can penetrate dielectric materials, and has relative low Mie and Rayleigh scattering (Fig. 7).93

Fig. 7

(a) THz image of an IC. The left image is obtained in reflection mode and the right one in transmission mode. (b) Color scale for TH images and the x-ray image of the IC. (c) X-ray and THz images are superimposed for comparison.92 Copyright © 2015 Society of Photo-Optical Instrumentation Engineers (SPIE).

OE_60_6_060901_f007.png

3.1.

Pulse Versus Continuous Wave THz Generation

There are various techniques for the generation of THZ radiation, but generation is dominated by photoconduction and optical rectification methods. In the photoconductive approach, high-speed photoconductors are used as sources for antennas, such as large-aperture photoconducting antennas. The optical rectification approach uses electro-optic crystals as a rectification medium and depending on the optical fluence the rectification is a second-order (difference frequency generation) or a higher-order nonlinear optical process.94 These two different methods of generation result in differences in the bandwidth, speed, and resolution. The various THz sources as of 2014 are detailed by RA Lewis’ along with a bibliometric perspective of published THz research over recent years.64 Although there are many hardware methods for generation, very few can generate the peak intensities required for nonlinear optics experiments and analysis.58 Pulsed systems offer TDS capabilities, where the time delay can be used to determine phase and amplitude from a sample. This can enable determination of thickness of layers, absorption properties, dielectric constants, and refractive index simultaneously.

Pulsed systems offer advantages in wide bandwidth volumetric inspection over CW systems which themselves benefit themselves from lower cost hardware and increased spectral signal quality, with more variability in the modes of generation. The generation of THz plays a large role in what is detectable using reflection or transmission mode geometries. The detection of THz radiation is as sophisticated as the generation, and this is a function of the requirement to detect THz energies on the orders of millielectronvolts, THz wavelengths on the millimeter/submillimeter scale and THz wavenumbers amounting to tens or hundreds of inverse centimeters. In 2019, the current state-of-the-art of detector technology was reviewed, while relating detectors to their informed applications.95 An optimal THz detector is able to detect individual THz photons; however, this is difficult in practice due to inherent noise in the atmosphere and electromagnetic spectrum. A detector is often more responsive to specific frequencies, and this can be seen among THz systems as the SNR decreases drastically above 4 THz.

Pulsed time-domain measurement and CW THz measurement have different strengths and limitations of the modalities for NDT applications. It is decided that CW imaging allows for a more compact and simple system, while pulsed measurements yield a broader range of information.96

3.1.1.

Terahertz time-domain spectroscopy

Current THz TDS systems operate on femtosecond lasers and can record a full THz time-domain transient in only 20 ms, which can be a requirement for inline quality inspection, NDT, and raster-scanning-based THz (near-field) imaging. Pulsed TDS systems enable both amplitude and phase information collection as opposed to CW systems where phase can be obtained, but with specifically developed CW phase collection setups.

3.1.2.

Fourier domain spectroscopy

Unlike the methods of pulsed THz radiation that mainly rely on ultrafast optical technology, the technology behind continuous-wave (CW) THz sources and detectors has a long history involving many different types of technical schemes.97 A description of how various CW systems operate and their imaging and spectroscopy applications in the time domain in relation to pulsed hardware.

THz, TDS, or Fourier domain spectroscopy (FDS) systems all require a reference signal to accurately measure the refractive index and the absorption coefficient of samples.

3.2.

Reflective and Transmission Measurements

The low power energy of THz sources does not allow for a powerful signal reflection or transmission through objects, even those comprised of THz transparent materials. THz reflection and transmission imaging of insulated copper cables to determine corrosion behind packaging for infrastructure component analysis and detection of security threads in banknotes.98 This increases the dwelling time for THz imaging techniques. The absorption of THz radiation or the diffraction of the THz beam can limit the resolution to the size of the wavelength of the beam. Similar to how transmission-based metrology such as TEM offers increased spatial resolution as opposed to scattering methods such as SEM, THz transmission imaging offers increased resolution if the adequate THz radiation can pass through the object as opposed to reflective modes (Fig. 7). Transmission or reflectance collection is possible in the near field or the far field, while near-field imaging is limited to thin samples.

3.3.

Near-Field and Far-Field THz Techniques

Gurtler et al. presented a comparison of near-field and far-field techniques in 2000, comparing that the ultrashort THz pulses emitted from a biased, large-aperture THz antenna between an unfocused THz beam and a focused THz beam, finding that the THz beam essentially behaves like a fundamental Gaussian beam with a beam waist given by the spot size of the emitting laser pulse at the THz emitter. This remained true for other types of large-aperture emitters, since the propagation characteristics are independent of the microscopic processes generating the THz beam.99

TDS sampling methods are based on collection of the THz field with a femtosecond laser pulse that the propagation characteristics are understood are reproducible. A convolution technique is used to pair the source’s pulse to the detected response. There are many techniques to convolve these signals but is based on the principle of characterizing the THz frequency received as opposed to just the amplitude of the signal received. The pulse contains multiple bandwidths of THz, with the strongest signals being in the 0.5- to 2.0-THz ranges but extending up to 10 or 50 THz is some cases with adequate signal quality. The difference between TDS and CW systems is that frequency TDS-based methods are able to characterize the entire THz bandwidth with femtosecond second repetition compared to the intensity-based methods such as CW which can only characterize phase or intensity. TDS systems combine wide bandwidth frequency information with time-domain information to analyze samples in three dimensions by correlating depth information to the time-domain pulse’s reflections which indicate interfaces of layers. CW systems are more effective for their single frequency dynamic range capabilities to analyze a specific THz wavelength, even capable of higher spectral resolution than TDs systems.

The benefit of near-field imaging is to collect the high spatial frequency information typically lost due to decay of THz signals as they propagate from the surface of a sample depicted in Fig. 8. THz research has been focused on increasing the spatial and spectral resolution of THz NDT methods past the limits of the diffraction. Reconstruction methods based on TDS measurements have been used to develop algorithmic-based image restoration techniques in the far-field region, while scattering-based methods have been used to develop image restoration for the near-field region.

Fig. 8

In near-field (Fresnel) wave fronts are considered close to spherical whereas in the far-field the wave fronts are essentially planar (Fraunhofer). Fresnel diffraction or near-field diffraction is a process of diffraction that occurs when a wave passes through an aperture and diffracts in the near field, and if the distance is increased, outgoing diffracted waves become planar and Fraunhofer diffraction occurs.

OE_60_6_060901_f008.png

Pulsed near-field methods are used for microscopy or nanoscopy have been used for subwavelength imaging since 2008.100 These near-field imaging methods require raster scanning which is time consuming and are based on a probe that is brought in close proximity to the surface of a sample. The probe and sample interact in a way enabling detection of radiation by the probe if equipped with an antenna or scattered to a coupled detector. The resolution limitation of near-field probe techniques is by the fabrication quality and feature size of the probe tip, which is smaller than the wavelength of THz radiation. Current methods offer real-time imaging in the near field using single pixel detectors, which do not require raster scanning eliminating the need for linear xy stages.101

3.4.

THz Imaging

THz ability to penetrate plastics and ceramics makes it an excellent choice for imaging of packaging materials, while offering 3D spectral information about an object through THz pulses in the time domain. With the combination of more capable hardware and mathematical phase retrieval methods, THz could be used to rapidly image and characterize complex objects such as ICs consisting of many different material compositions. CW THz imaging involves raster scanning of a beam or the rectification of signals onto a detector array with adequate incident radiation. For rectification, a single beam can be used to develop the full amount of radiation power needed for signal quality and benefits from a high dynamic range, but the raster scan must collect information in a slow speed point by point. As opposed to a TDS inspection tool, CW system can outperform systems based on time-domain photoconductive sampling in frequency resolution, spectral brightness, system size, and cost. Figure 16 shows a THz image collected by a TDS system, but depicts an isolated 1.996-THz frequency which is mostly transparent to the IC packaging. Panchromatic absorption is where the absorption coefficient is collected over entire frequency bandwidth of pulse and is then calculated through the change in the amplitude of the reflected or transmitted pulse. Monochromatic-absorption, in comparison is where the coefficient is measured at a defined frequency or a limited range of frequency. Jepsen details a “how to” tutorial on derivation of phase from TDS measurements, THz time-domain spectroscopy (THz-TDS) is in many ways a well-established, proven, and versatile spectroscopic technique that is frequently and routinely used in many laboratories. The basis of high-quality optical data on materials using THz-TDS is the correct extraction of the complex-valued dielectric properties (index of refraction, permittivity, or conductivity) from the recorded amplitude and phase of the involved THz signals.102 Guerbouhka et al. reviewed recent advances in THz imaging with an emphasis on the modalities that could enable real-time high-resolution imaging. This covers several key imaging modalities developed over the years such as THz transmission, reflection, and conductivity imaging; In addition to THz pulsed imaging, THz computed tomography (CT), and THz near-field imaging techniques. All of these imaging modalities are part of the enabling technologies for real-time THz imaging within the time-domain spectroscopy paradigm which will require fast optical delay lines, photoconductive antenna arrays, and electro-optic sampling with cameras.103 From the THZ camera technology to the real-time methods that will enable live THz imaging with single-pixel detectors, mechanical beam-steering, compressive sensing, spectral encoding, and fast Fourier optics are also detailed as of 2018.

4.

THz PCB Inspection

PCBs are the structural housing and electrical carrier for single or multiple ICs, providing designers a platform to integrate processors, sensors, and other components together. The design and fabrication of PCBs can be as complex as the design of an IC or transistor depending on the number of layers, components, minimum feature size, and other “specs” used to gauge PCB manufacturing. PCB design is dominated by North America, while production and assembly are dominated by Asia. This presents a greater opportunity for undetectable production errors, modifications, or duplication of designs by malicious actors. PCB inspection and FA are primarily performed to ensure proper connectivity of all components, detect inclusions, and functionally test the integrated system. This is primarily performed through electrical or in-circuit (bed-of nails and JTAG),104 optical (automated optical inspection, AOI),105 and volumetric methods (automated x-ray inspection).106108 These methods in combination enable a system of defect detection and mitigation in real time throughout production, assembly, and final testing.

Although testing is beneficial for prevention of malicious insertions, these test points, such as those required for in-circuit testing can be used for launching attacks109 on internet of things devices for example. Ghosh et al. presented vulnerabilities for high frequency PCB design where an attacker modifies the inter-trace spacing of internal board traces as well as the individual trace width and thickness. In addition, the dielectric permittivity of the board material is changed to model aging effects. These effects were used to simulate an early life-cycle termination as a denial-of-service attack.104

4.1.

PCB and THz Radiation Interaction

PCBs are currently used as a platform for THz on chip development,110112 enabling subwavelength sensing to allow fully integrated spectroscopic chips operating across 0.04 to 0.99 THz without the need for any external THz source, drastically lowering costs for THz inspection systems. For this reason, there is extensive research into the THz radiation penetration depth and effect upon FR4,113 the epoxy woven fiber material which comprises the substrate of a PCB. PCBs can often be used as motherboards for desktops or logic boards for smartphones and the understandings of how THz signals propagate through or near a PCB are critical. The characterization of the effects of 300 GHz (0.3 THz) radiation on PCBs indicates that the presence of the ground plane or parallel-plate structures in the channel introduces multipaths that, if constructively superimposed, may create path loss lower than the free-space propagation path loss.114 In other words, the internal copper ground plane and other parallel structures can act as artificial antenna enhancing THz radiation to propagate. Therefore, the design of a PCB can constructively or destructively interfere with THz-based characterization methods between ground planes or parallel structures. Copper traces and metallic solder are highly attenuated in THz imaging techniques allowing for proper characterization of circuitry shorts, spurs, and opens. Due to the highly attenuating nature of copper for THz radiation, during compressed sensing experiments PCBs copper patterns are used, where the “copper” pixel corresponds to pixel value zero on the random pattern, while a pixel without copper corresponds to the value one, since the PCB material (FR4) is fairly transparent to the THz beam.115 PCB substrate material strongly absorbs THz radiation at frequencies above 1 THz116 which can be seen in Fig. 9 and glass fiber epoxy might exhibit anisotropic effects on THz signals.118 Due to this absorption, it is difficult to reconstruct THz images with high quality while keeping the spectral information, and therefore absorption above 1 THz currently limits PCB imaging to near-field methods, or large frame averaging volumetric methods, causing large time requirements for data collection. For example, T-ray imaging has been applied to PCB failure detection in a passive manner, specifically on the hardest to detect of defects where test program sets are those that lead to ReTest Okay situations. ReTest Okay situation refers to a failed test, where the defect was unique to a sample and not across a lot or batch. These defective cases where the cause is not repeatable cannot be resolved with electronic testing alone and yet certainly have an underlying physical defect as a cause.18 THz-TDS has been used to detect hidden inclusions with various shapes and materials in glass fiber-reinforced polymer (GFRP) composite solid panels which are similar in structure to a PCB substrate. In 2019, traditional transmission and reflection THz-TDS imaging are performed for detecting GFRP inclusions while comparing spectral difference between defect area and nondefect area and dynamically adjusting imaging parameters to the defect characteristics, which greatly improves defect detection.119 The THz-TDS imaging results are compared with those employing x-ray CT and C-SAM.

Fig. 9

Transmission of the imaging area within the metallic ring (blue diamonds) and of a standard PCB substrate (red circles).117

OE_60_6_060901_f009.png

Signal processing of THz radiation is often performed through modeling of a THz beam. This is achieved by incorporating an understanding of the beam’s interaction with a sample. To accurately determine material properties of a PCB, this will require an understanding of how a THz signal propagates through a PCB structure. It has been demonstrated that the scattering model for the reflection of broadband THz signals from the surface of PCB and its straightforward structure and application to any broadband ray-tracing algorithm is simple.120 This simulated scattering model can replicate results with a signal level and time-domain shape very close to that of actual THz measurements and their response from a PCB. This is important as the modeling of THz sample interactions enable higher spatial resolution characterization.

Further analysis of plastics materials commonly found in IC and PCB packaging determined two takeaways: first, transmission is a nonnegligible effect when it comes to plastic materials. This needs to be considered, e.g., for considerations on electromagnetic compatibility. Second, reflection from plastic materials is heavily influenced by the multilayer structure of a material. Thus, significant pulse-broadening may occur even at small bandwidths if this behavior is not taken into consideration.121 The understanding of THz effect on PCB materials such as FR4 and copper can be used for modeling and developing appropriate metrology methods for PCB substrate, passive components, and circuitry.

Figure 10 shows the nondestructive detection imaging on a Xillinx PCB covered by a plastic case with a scanning step length set to 1.0 mm. The original THz image is shown in Fig. 10 with a size of 101×38. It can be seen from Fig. 10 that the red frame marked area looks like several connected electronic components, but the truth is there are four separated electronic components, as shown in Fig. 10. After doing super-resolution reconstruction with the proposed method, the four separated electronic components can be clearly distinguished, as shown in Fig. 10. The effects of various algorithms can cause the electronic components to look larger than the truth due to the blurred edges.33

Fig. 10

Experimental results on real THz image of circuit board with scale factor 3. The red frame marked area in the lower left corner of each image is the corresponding zoom-in image. (a) Original image, (b) bicubic interpolation, (c) Lucy–Richardson and bicubic interpolation, (d) VDSR, and (e) proposed method. NDT imaging on a circuit board covered by a plastic case shown in (f) circuit board and (g) plastic case. Adapted with permission from Ref. 33 © The Optical Society.

OE_60_6_060901_f010.png

4.1.1.

3D printed circuit boards

3D printing of PCBs using inkjet systems has increased over the years, as flexible PCBs become more utilized for various applications,122,123 while providing an opportunity to protect PCB design intellectual property. 3D printing PCBs offer flexibility in fine tuning high performance for RF applications and more complex geometries in a limited quantity with complex materials before bringing this design to HVM. A patented THz system offers the only method for noninvasive and in-situ quality control of electrically conductive ink and its deposition process.41 Currently, there are many methods to determine the conductivity of printed electronic devices or to test the ink deposition accuracy during the printing process. It involves manual postprocessing characterizations or imaging of the printed electronic device with a limited resolution, which is thereby limiting the ink quality assessment. The printing process for PCBs is very dynamic and inline tracking would allow for feedback on the printer conditions, while opening the door for high volume printed device manufacturing.

4.2.

THz Computed Tomography

A review of THz techniques was presented by Guillet et al. in 2014,124 covering the principles of tomography for THz CT, tomosynthesis, synthetic aperture radar, and time-of-flight THz tomography along with advantages, drawbacks, and limitations for imaging the internal structure of an object.

THz CT first adopted in 2002,125 building upon Mittlemans’s standard T-ray tomography has been widely adopted for many applications124 but is primarily limited by a sample’s thickness as the low power of THz sources does not enable large transmission percentages for THz radiation. X-ray microfocus CT is currently used to perform volumetric inspection of PCBs in an off-line FA workflow108 X-ray micro-CT offers superior volumetric capabilities when compared to THz CT. However, THz CT has superior spectral characterization compared to x-ray spectral capabilities, where flat panel detectors are limited by the conversion of x-ray radiation into visible light. Moreover, THz spectral CT can provide colored pictures in THz range as compared to monochrome x-ray CT, associated to the possibility to tentatively analyze the chemical composition of the sample based on THz spectral fingerprints.126

Time domain-THz CT 3D imaging has been proposed by NASA for commercial application in PCB delamination detection and can be advantageous when compared to traditional tomographic imaging which has substantial difficulty in determining the layer index of refraction and absorption properties without ambiguity.52,127 CT methods enable generation of cross-sectional slices enabling accurate layer by layer inspection, and THz CT can enable layer isolation of dielectric properties and delamination. THz CT can incorporate knowledge about an object to reconstruct deviations from expectation based on a modeling. A model as such has been proposed based on a Monte Carlo extension of usual ray tracing methods, which aims to account for the Gaussian intensity profile of THz beam and considers refraction and reflection losses when propagating through an object.128

Traditional x-ray inspection of multilayer PCB is limited by large noise due to metallic streaking, and information from multiple 3D layers being contained in a single 2D projection. Surface mounted components can block internal features such as traces and vias among other design information. THz can isolate layers through the time delay of the signal, while x-ray images are a combined sum of an object’s effective density in the direction of the beam. Multiple objects positioned along the plane of the x-ray beam will be combined at the detector.

4.3.

Optical versus THz Imaging

PCBs rely on vias to carry signals in multilayer boards, which can be a challenge to design and manufacture causing defects or reliability issues after thermal stresses.129 Vias deliver a route for electrical connections and thermal energy to move between layers. Vias are created by drilling or laser boring through a PCB structure in completion or to a certain layer. Filling a via with conductive material provides for connectivity through plating. This process is very sensitive to chemicals or other substances within the holes, which can eventually cause defects and reliability issues. It is important to characterize these vias for their drill quality along with electrical properties, as there are many filling, plating, and etching steps during PCB production that can lead to a stack up of errors.

Optical imaging is often used to characterize process steps for PCB production, often known as AOI. Zhao et al130 compared optical and THz methods of subwavelength characterization for PCB through holes. In Fig. 11, an optical microscope image of multiple through-holes on a PCB plate were collected along with femtosecond pulse imaging using THz. Each hole is about 600  μm in size. Arrows A, B, and C point at three hole pitches with characterized widths of about 60  , 80, and 75  μm, respectively. (b) THz image of multiple through-holes on PCB plate. (c) Spatial profiles of a series of representative holes retrieved from (a) and (b) along the green dotted line, as red line and blue solid squares, respectively.

Fig. 11

Optical microscope image of multiple through-holes on a PCB plate were collected along with femtosecond pulse imaging using THz. Each hole is about 600  μm in size. Arrows A, B, and C point at three hole pitches with characterized widths of about 60, 80, and 75  μm, respectively. (b) THz image of multiple through-holes on PCB plate. (c) Spatial profiles of a series of representative holes retrieved from (a) and (b) along the green dotted line, as red line and blue solid squares, respectively.130

OE_60_6_060901_f011.png

4.4.

Near-Field THz Imaging for PCB Passive Components

Near-field imaging of a PCBs microwave emission was used to characterize transmission line discontinuities in 2001. Due to the small feature sizes of PCB structures such as traces and vias, the ability to measure fields with a high spatial resolution relative to feature size and in the close vicinity of the board would be required. In practice, digital PCBs have most of their traces laid out in two orthogonal directions and only very short oblique segments. Modeling of how radiation emanates from a PCB is critical to near-field imaging techniques. In Fig. 12, THz near-field imaging using CW source was performed on a PCB, with 18-μm-thick copper circuitry and, in some areas, electrically insulating paint. Figure 10 shows the results of THz imaging of two board regions, where (a) and (c) show visual images, and (b) and (d) show THz images corresponding to ν=0.5  THz (λ=600  μm) and electromagnetic wave polarized along the x axis. The electrical circuit includes elements of subwavelength scale, which are clearly resolved in the THz images even in the case of being covered with electrically insulating paint.131 PCB imaging through transmission imaging is difficult due to the large absorption of high THz frequencies in the far-field passing through the PCB substrate. Near-field imaging offers collection of THz signals near the surface, enabling a higher spatial resolution of characterization than is possible with far-field imaging techniques. IC packaging has been imaged with far-field methods and in transmission geometries, but the thickness and structure of an IC are more transparent than a PCB structure.

Fig. 12

THz imaging of two board regions, where (a) and (c) represent visual images, and (b) and (d) show THz images corresponding to ν=0.5  THz (λ=600  μm) and electromagnetic wave polarized along the x-axis. The electrical circuit includes elements of subwavelength scale, which are clearly resolved in the THz images even in the case of being covered with electrically insulating paint.131

OE_60_6_060901_f012.png

PCB inspection often is primarily performed by manufacturers who have the design information about the circuitry patterns. This prior knowledge about the circuit design is beneficial and critical for THz near-field TDS imaging which offers a potential for the detection of defects in circuitry wiring of a few microns in size through the incorporation of PCB design information with THz signal processing. Using this technique, it is shown in Fig. 13 that the imaging of PCB’s traces obscured by a 115-μm-thick silicon wafer with 100  μm (λ/4) resolution is possible due to the THz sensitivity to local conductivity.132 The limitation preventing a further increase in the resolution lied within the thickness of the silicon-based modulator, which is in direct contact with the object to perform near-field imaging. Moreover, two different sets of patterns, Hadamard and random, were applied, showing the superiority of Hadamard masks to obtain a high spatial resolution.133

Fig. 13

Left: Image polarized effects. (a) Images (64×64) of circuit board in Fig. 12 with vertical polarization. Pixels are 40  μm. We see that the contrast of each of the individual wires in the circuit depends on the THz polarization, with the highest contrast seen for polarization parallel to the wires. (b)–(e) Images (64×64) of the square regions in (a). Polarization is shown by the green arrow on the top left corner of each picture. Pixel size is 20  μm, and images have been denoised using the algorithm described in Sec. 4.4. We see that the very subwavelength wiring breaks [marked by circles in (b) and (e)] give rise to transmissive regions in the THz image when the THz polarization is parallel to the wire. In (e), the diagonally orientated wire (indicated by the white arrow) also shows low contrast. Every image has been obtained via a full set of Hadamard masks. (F) and (G) Line plots through the 8  μm gaps in (e) and (e) with amplitude and space on the vertical and horizontal axis, respectively. The spatial coordinates of the plots are indicated by green rectangles in (b) and (e). Right: Hadamard versus random versus raster imaging (a) circuit board design, where black indicates conducting, metallic regions. The individual wires are 50  μm in width, and 8  μm breaks have been introduced at points marked by the letters A and B. (B) Image acquired using raster scanning of a single opaque pixel. (C), (D) Comparison of the same image acquired with a full set of masks derived from random and Hadamard matrices, respectively. (E), (F) Compressed images obtained via random masks, where the number of measurements is (E) 25% and (F) 75% of the total number of pixels (we use a total variation minimization image recovery algorithm). In all images, the THz electric field is polarized horizontally, and the number of pixels is 64×64 with 40  μm pixels. The signal acquisition time for a single measurement is 500 ms. Because of the considerably larger noise in the measurement, we have scaled the image in (b) by 0.25 to use the same color scale as in (C) and (D). Figure and caption recreated from Ref. 132.

OE_60_6_060901_f013.png

4.5.

PCB Feature Sizes Are Trending Toward IC Scaled Features

NDT methods such as x-ray CT have been a key developer for the PCB industry with the ability to detect faults without time consuming destructive techniques. Various electronics applications from the IoT, 5G communications, GPU developments, and artificial intelligence (AI) require superior I/O density and 3D scaling at the device, package, and system levels. This density increase also drives the need for NDT imaging methods with high spatial resolution and if possible, in 3D. As the scale of features at the PCB trend smaller, their footprint reaches that of the features size for an IC.

X-ray inspection systems have been used for microscopy systems building upon developments from synchrotron technology.134 In the past 20 years, major developments in optics, stages, and reconstruction enabled x-ray NDT to become an effective method for IC and PCB FA workflows, submicron and nanoscale XRM enable successful FA outcomes from PCB to die BEOL levels, even in complex samples.135 X-ray for critical dimension (CD) is used for analysis at the nanometer scale with proper sample preparation. There is a need to nondestructively acquire 3D images at high resolution from the PCB to IC and transistor scales. THz hardware offers the ability to image in the near-field and far-field to acquire information with nanometer resolution or macroscale. Although x-ray offers superior volumetric analysis, THz can resolve chemical and electrical information simultaneously without the use of ionizing radiation.

PCBs enable ICs to communicate with the outside world; however, the advanced 3D integration has begun to look into replacing the PCB as the electrical carrier. There is significant benefits to integrating an IC direction onto a silicon interposer, because a thermal mismatch occurs between different materials (PCB and IC) because of their different coefficient of thermal expansion CTE. This causes mechanical stress within the object or component or device often at the connections such as BGAs, and then the thermal warpage and the resultant deformation causes failure between the IC package and the PCB. As the feature size of PCBs approach those of ICs, it will become more likely to skip the PCB altogether to avoid CTE issues during bonding.

Based on current applied THz methods to PCB materials, there is a potential for THz inspection to replace drill hole verification, detection of broken circuitry near the surface or at the surface. THz is also able to penetrate plastic packaging to see inside of PCB housings. These NDT methods of PCB verification can be used for reliability and quality assurance of PCB components during and after production.

5.

IC and VLSI THz Inspection for Counterfeit Detection and Prevention

5.1.

IC Design

IC complexity can be separated by its interconnect type and feature size. Some examples are flip-chip, wirebond, wafer-level packaging (WLP), and through-silicon vias (TSVs) which comprise many of the connections from the macroscale flip-chip IC to PCB connections down to the nanoscale transistor and die connections. A modification or defect at any of these levels can cause device mis operation, data leakage, or premature failure of an IC during its life cycle. This failed IC can cause compromise or enable malfunction of interconnected systems such as the assembled PCB device due to the prematurely failed IC. IC packaging is expected to provide a physical housing and enable reliable signal interconnections from the inside to the outside or vice versa. THz ICs have been developed for communications and sensing drastically lowering costs; however, there are several challenges associated with increased device frequencies and resulting signal loss, dimensional constraints, and microfabrication methods. An overview of interconnections and packaging technologies dealing with these packaging and interconnection issues for THz electronics such as those used for communications or sensing was provided by Song in 2017.136 There are many packaging methods such as commercial ceramic technologies, micromachining, and 3D printing technologies discussed as well.

  • Bond wires represent a large portion of the IC packaging market, where a wire bonder connects one chip to another chip or substrate using “bond” wires. Memory stacks, commodity items, and midrange packages use wire bond connections. Wire bond connections are often verified using electrical tests and volumetric methods such as x-ray.

  • Flip-chip connections are used for BGA connections between ICs and PCBs. The large number of I/O connections requires more sophisticated methods than wirebonding, and thus an array of microsolder spheres are used to connect components. An IC for example is flipped and mounted to another IC die or PCB via this array of bumps landing upon copper pads enabling electrical connectivity.

  • WLP methods are used to connect fan-out and other types of packages, fan-outs are used to create complex logic gates using digital circuitry. A common example of fan-out is where a memory die is stacked onto a logic chip.

  • TSVs are used for the most complex of packaging techniques such as 2.5D or 3D, where multiple dies are connected through an interposer. This interposer holds various horizontal and vertical connections to create a grid of circuitry among IC dies and the larger PCB substrate of copper connections.

IC integration scales from small- to very large-scale integration, referring to the number of components per chip. As the number of connections increased, and approaches VVLSI, with more than 1 million components per chip, this has required higher spatial resolution and faster techniques to meet the high-volume requirements of the electronics industry. THz IC characterization can be segmented into counterfeit detection and defect detection and localization. THz defect localization has been used to mitigate nano- or micro-sized defects in the VLSI design, while counterfeit detection has focused on micro- and macrodifferences in the IC die, epoxy molding, or pins. A beginner’s level introduction to IC packaging has been compiled by Lancaster and Keswani,137 while FA techniques for 3D packaging were reviewed by Altmann and Petzold,138 Li et al.,139 and De Wolf et al.140 Interconnect quality and reliability for 3D IC packages were reviewed as part of Springer’s “Series in Advanced Microelectronics” book covering microstructure changes and failures driven by mechanical, electro, and thermal stresses, which are important as many modern mobile devices fail due to high frequency impacts with the ground.86

Although there are many non-THz-based inspection methods for ICs, it is difficult to reduce the processing time of assessment and interpretation of signals for many IC NDT. These issues should be addressed for the development of an effective in-line inspection system.66 Aryan et al. provided a review of the state-of-art, as of 2018, NDT methods used for evaluation of IC packaging with a critical conclusive findings:

  • Noncontact methods seem to be more attractive due to the potential for in-line inspection and less preparation time for inspection.

  • The best probable solution to overcome the current challenges is to utilize hybrid methods linked to proper modeling.

  • As the dimensions of the IC packaging (voxel size) continue to decrease, the micron range spatial resolution of the current NDT methods should be improved to submicron and nanometer ranges.

  • To improve the current NDT methods, the followings can be considered; combination of numerical modeling with the NDT method such as x-ray and SAM, utilization of advanced signal processing (such as f-k filtering), and a phased array technique to access hard-to access areas.

  • The ultrafast optical lasers offer the following unique characteristics for the inspection of IC packaging such as the nanometer resolution, tunable wavelength of the ultrasonic waves depending on the target defect sizes, reasonable inspection time (currently it is in the order of couple of minutes) and being noncontact.

5.2.

Electrical Defect Localization

5.2.1.

Time-domain reflectance

Time-domain reflectance or TDR matured into an NDT fault location tool, utilizing powerful pulses, of extended electrical systems such as wiring or cables. With increased signal processing, the application was extrapolated to FA of semiconductor components such as board, circuitry, and package interconnections. TDR utilizes a connected medium such as copper wire while transmission methods such as x-ray or acoustic imaging propagating through the medium of air. TDR is possible through monitoring of electrical pulses which are sent through the circuitry of a device, and the reflection is monitored for irregularities such as shorts or disconnections. The resulting time-domain waveform obtained in TDR analysis is determined by both the input pulse waveform and transfer function of input point. In general, if an IC chip is not powered, it will become a linear time invariant system.141 Due to increased packaging complexity for ICs, the determination of a fault was possible using TDR, but the localization of the defect’s exact location became difficult due to poor spatial resolution. Ultrafast THz pulses were used in combination with TDR to resolve failure points with a resolution surpassing conventional electrical TDR method alone.142 Nondestructive FA of advanced 3D packaging still became more challenging to perform due to the much smaller interconnects such as TSV and microbump for both electrical and physical inspection methods even for ultrasfast laser pulses. For accurate analysis, there are two major challenges in realizing a THz-TDR system. First, the generated THz impulse signal must be coupled into the circuity with a minimized loss; second, the reflected THz impulse signal must be coherently detected in time domain.143 Frequencies above 110 GHz are not transmitted well by electrical contacts used for TDR, and hence most of the resolution power is lost within the TDR system during reflection. Nagel et al.141 addressed these coupling and detection challenges and enabled high spatial resolution noncontact electro optical TDR inspection by incorporating a TDS system and custom-built probes with a tip radius of 0.2  μm. This THz-TDR instrument could locate discontinuities in electronic structures with a spatial resolution of 0.55  μm through near-field collection in proximity to the device via probe/wave guide coupling. Nagel et al. demonstrated successful microprobe analysis of a device under test DUT structure: a typical Cu-based coplanar waveguide with an electrode width of 50  μm, 30  μm spacing, and 3  μm copper thickness based on an undoped Si substrate.144 A 75-nm-thick layer of SiO2 is deposited between the electrodes and substrate. This method is very effective for determination of open-end, full short-cut, and semi- short-cut defect via analysis of the peak or trough of the TDR signal after probe coupling. This setup can be seen in Fig. 14(a) where an open end, full short cut, and a semishort cut are all characterized by their TDR amplitude waveforms.

Fig. 14

Left: (a) Differential time-domain reflection signals from an open-end, (b) a full short-cut, and (c) a sequence of semi and full short-cut CPW. Corresponding microscope images on the right.144 Copyright © 2014 IEEE. Reprinted with permission from IEEE Access. Right: (a) EOTPR waveforms and schematic diagram of the defective circuit from case study III. (b) Optical images obtained after cross section of the C4 bump in the good and failed units. The failed unit has a rupture in the under bump metallization which is the cause of the electrical open failure.145 Adapted from Copyright © 2013 International Microelectronics Assembly and Packaging Society; European Microelectronics Packaging Conference.

OE_60_6_060901_f014.png

5.2.2.

Electro-optical terahertz pulse reflectometry

Electro-optical terahertz pulse reflectometry (EOTPR) is an implementation of TDR technique at THz frequencies, which can be used to enhance fault isolation accuracy to better than 10  μm, since 2010.146 As in conventional TDR, the fault detection accuracy of EOTPR is a function of the rise time of the incident pulse, the time-based jitter, and SNR. The EOTPR instrument generates a THz pulse using an ultrafast laser and a pair of photoconductive switches for signal generation and detection, resulting in a system with (i) high measurement bandwidth, (ii) low time-base jitter, and (iii) a high time-base resolution.146 TeraView has created the EOTPR 3000, a tool based on THz-TDR which is able to isolate fault location in minutes rather than hours or days, with sub-5-μm fault isolation accuracy.97 The EOTPR 4000 system is an automated system with a probe station with the highest fault isolation accuracy providing inspection of TSV tips and copper pillars with automated profiles. The EOTPR 5000 is able to characterize warpage-induced interconnect failures which may not be identified by traditional inspection methods such as logic or electrical testers. These tool’s accuracy is possible because EOTPR utilizes an impulse response compared to the step response of conventional TDR. In this case, high and low impedance discontinuities in a device’s circuitry result in positive peaks and negative troughs, respectively, in the measured waveform. The amplitude of the peaks indicates the size of the impedance change encountered by the pulse and their arrival time gives the location of the fault. EOTPR is limited due to being a directly comparative method requiring compare the waveform from a known good device (KGD). To localize a fault within the circuitry, the time-domain waveform must be converted into the distance-domain. This can be accurately achieved by measuring reference devices that have impedance features, such as opens, at known locations. The effective dielectric constant of the device can be determined by measuring the time required for the EOTPR signal to travel between reference features or known locations, which is then used to convert the EOTPR waveform from time to distance depicted as a delay in Fig. 14(b). Similar THz TDR tools and methods have been commercialized into noncontact molding thickness measurement and simultaneous high-resolution TDR defect localization of spatial resolution <5  μm.147 This combination of packaging and circuitry NDT FA is a large improvement over destructive methods such as SEM or time-consuming requirements such as x-ray.

EOTPR has been widely researched for NDT fault localization in chip inspection since 2010, in WLP,148,149 2.5D,150 3D packages,145,151153 BGA connections,154,155 C4 Bump Pads,156 flip chip,146 and TSV.151 EOTPR is currently used commercially to detect interconnect quality with the full automation, rapid measurement speed, and high throughput for 2.5D, 3D, MEMS, and WLP.

Optical and electron beam-based (SEM) defect localization techniques have been successful due to the direct inspection of a defect near or at the surface; the scanning optical microscope and SEM have been standard FA methods since the 1990s. As devices become smaller and more highly integrated, it is more and more challenging to effectively locate electrical wiring faults (open, short, and high-impedance faults) in semiconductor packages fabricated with extreme spatial precision.147 SEM has good image resolution, but the measurements are very time consuming and destructive and are thus limited when compared to the probing ability of electrical fault isolation techniques which do not require optical access to an IC’s interconnections. Advanced 3D IC packages are often vulnerable to warpage and resultant interconnect failures. This can be due to a poor connection of the BGA on a package-on-package device during bonding.

5.3.

Terahertz Signal Emission

5.3.1.

Signals emitted under test

In 2013, a method of THz-based “chipless” RFID tagging using an IC’s dielectric features as opposed to the information encoded in a barcode or qr code tag,157 an RFID tag is compared to a THz tag in Fig. 15. In 2016, a unclonable chipless tag based on concentric ring slot resonators was presented that utilizes manufacturing variations, without additional hardware such as an RF tag.158 Ali et al. presented a comparison of RF and THz emission in 2017, authentication methods based on randomness inhering in the fabrication process showing that it is possible to discriminate RF, respectively, THz, signatures obtained from devices whose differences of geometrical parameters are as small as tens of micrometers.159 Ali in 2019 reviewed chipless tags identifying issues with cloning due to the use of natural randomness in the fabrication process and their use for tagless authentication.160

Fig. 15

(a) RF tag based on RF waves which can be read remotely without direct human interaction between the reader and the tags, (b) THz tag where “chipless” tags are most often made of a dielectric substrate on which a conductive pattern is deposited. The specific geometry allows the tag to be identified with certainty.157 Copyright © 2013 IEEE. Reprinted with permission from IEEE Proceedings.

OE_60_6_060901_f015.png

Ahi et al. developed an engineered nanostructure for tagging and protection of an IC, where numerical matrixes on an IC surface illuminated by a laser creating an encrypted tag that is difficult to duplicate;161,162 however, this requires expensive lithography equipment and increases the cost of production for each chip during packaging. Lower cost methods of THz tagging were proposed in 2017, where a low-cost photopolymer SU-8 was demonstrated as an effective candidate for security applications because UV exposure of the film was correlated to a change in the THz frequency.163 This change in polymer could be detected by THz while not seen optically, demonstrating a possible use for detecting the level of cross-linking in an IC package. Similar antitamper mechanisms were proposed in 2020 by Cheng et al., where nanoscale strain engineering is utilized to create a self-erasable and rewritable platform for antitamper hardware based on the reversible structural changes. The changes between trans and cis isomers in azobenzene (A3) molecules combined with induced strain in the overlying tungsten diselenide (WSe2) monolayer, thereby affecting its optical bandgap enabling tags to be imprinted but disappear after a set period of time.164

The Naval Surface Warfare Center-Crane Division patented a method of detecting counterfeit ICs based on their emission characteristics in 2017. This new approach utilized power-pin-based characterization of capacitive and inductive signatures across a specific frequency range using a precision impedance analyzer.165 Manufacturing variation utilizes natural variations during fabrication specific to each facilities or foundry, and two manufacturers even with identical tools and processes cannot fabricate an identical die. Electrical signals are connected and sent through an IC’s power-pin while characterizing the distortion field created by the IC’s internal capacitance and inductance. Through sweeping the electrical signal input across a specified frequency range, a fingerprint specific to the manufacturer can be derived, while returning minute deviations from expected to accurately identify an IC die as being fabricated legitimately or maliciously. Authentication methods based on THz tagging have been used extensively in 2018 and 2019 to create automated techniques,157,166169 along with methods of detecting spectral fingerprints from THz-tags behind shielded materials using machine learning.170 Similar tagging methods have been combined with physically unclonable functions PUFs to create unclonable tags for protecting counterfeit pharmaceuticals, an industry plagued by counterfeits similar to semiconductors.171

Laser scanning or probing of IC designs such as VLSI or monolithic integrated circuits is possible with EOTPR; however, it is limited by SNR of the laser probe and reflected pulses. Tag-based methods require a modification of the IC package during fabrication to allow for chipless tagging; however, methods based on manufacturing process variations have been proposed in 2020.159 A new approach for THz signal emission-based inspection by Shur et al. is based on detecting the electrical response generated at an IC’s external pins while the IC is irradiated by THz or sub-THz radiation.172,173 As opposed to EOTPR methods where a reference signal or golden sample is required for comparison of expected to defective signals, this method is able to become self-learning and self-improving through AI and ML algorithms. A method building on Shur’s work incorporated AI techniques for ensuring hardware security by obtaining images that depend on the THz beam’s radiation polarization, frequency, impinging angle, and depth of focus, thus allowing to record unique and detailed information about the chip that could be used for an etalon image to identify (by the image comparison) faked chips, chip defects, and even to predict reliability and lifetime.174 EOTPR is limited by being a comparison-based method, often requiring a KGD, and for this reason methods that incorporate verification without a golden sample are of interest. Tagging methods are limited by manipulation, added cost, and environmental conditions, and it is important to create a signature for a device based on its physical characteristics using THz imaging in transmission and reflectance. Image-based techniques are able to characterize an IC based on the inherent structure without relying on a golden sample or complex tag.

5.4.

THz Imaging-Based Methods

5.4.1.

THz imaging generation

The use of THz radiation to form images has been used for IC packaging inspection since 1995,9 shown in Fig. 16 due to its ability to penetrate the optically opaque epoxy molding with a spatial resolution of 250  μm. The THz range contains information from across multiple inspection modalities, such as microwaves, infrared, visible, ultraviolet, or x-ray images. This combination of specular and diffuse features for THz is shown in Fig. 17 where THz spectrum combined the effects of microwaves, visible, and IR wavelengths. Combining of these various modalities can be represented with a THz image; and compared with images formed using lower frequencies such as infrared, THz images have the advantage of superior spatial resolution due to its shorter wavelength.59

Fig. 16

(a) A THz image of a semiconductor integrated-circuit chip package. The THz image collected using a near-field scanning system TeraCube from Protemics used to collect high-resolution Terahertz data with a photo-conductive microprobe. The THz transmission is color coded according to the total intensity of the transmitted THz signal isolated over a 1.996-THz frequency range. The plastic packaging material of the chip shows only little absorption in the THz spectral range, whereas metals are fully absorbing and doped semiconductors are partially absorbing.

OE_60_6_060901_f016.png

Fig. 17

Surface scattering across the EM spectrum. (a) Most surfaces appear smooth at microwave frequencies (specular scattering), (b) same surfaces exhibit significant considerable roughness in optical spectrum (diffuse scattering), (c) in THz regime most building surfaces exhibit significant diffuse scattering and strong specular reflections.90 Copyright © 2014 IEEE. Reprinted with permission from IEEE Access.

OE_60_6_060901_f017.png

The packaging materials of the ICs are compositions of different materials, and these materials are transparent to the laser in the THz region. By recording the reflected or transmitted beams in certain step intervals and mapping the reflected or transmitted beams on a 2D plane, analyzing an entire layer is possible on one graph. THz-TDS systems can record the intensity and time delay of the traversed THz pulse and generate the THz images.92

Although, THz wavelength is more generous in size than the features identified, the use of image restoration and incorporating the THz beam’s PSF into the reconstruction increased spatial resolution to 0.1  mm. With PSF and other different THz resolution enhancement techniques (RET), the imaging contract and resolution are high enough to detect the structure and material difference between genuine and counterfeit ICs. Ahi et al. used THz-TDS methods combined with PSF-based image restoration techniques to characterize and identify quality control issues that can be used for authentication of packaged ICs below the diffraction limit of the THz wavelength.92,175 The complex internal structure and precise bond-wires of ICs are much smaller in feature size than those of external pins or packaging. These small features below the diffraction limit must be resolved to adequately characterize IC functionality.

5.4.2.

Image enhancement

RET for improving the spatial resolution of imaging has been used to resolve features below the diffraction limit of THz radiation. The THz beam can be modeled utilizing information about the sample to enable an improvement of the resolution in spatial and spectral resolution. Algorithmic techniques for resolution enhancement are often based on a deconvolution process, which depends on accurately estimating the PSF.31 In 2021, Zhang et al. presented a comprehensive analysis of the history of THz resolution enhancement as part of their research into an advanced THz resolution technique, with an in depth analysis relating current research to the historical advancements. The resolution enhancement for THz imaging is critical for THz techniques where 3D reconstruction is performed on THz data. Digital holography, CT, or ptychographic reconstruction methods all rely on high quality THz data collection before 3D reconstruction, and thus most reconstruction methods incorporate resolution enhancement. Similarly, for THz machine learning-based analysis methods, these incorporate a THz resolution improvement before machine learning operations or utilize machine learning to connect features and weights between modeled and empirical THz data. In 2010, Li et al.176 introduced the Richardson–Lucy algorithm as a promising deconvolution approach to improving image details. The intensity distribution function of an actual THz laser focal point can be used as an approximation in the PSF in the restoration algorithm. In 2020, Li et al. resolution enhancement has been combined with machine learning. This is accomplished by integrating the focused THz beam distribution, which determines the relationship between the imaging range and the corresponding image restoration level; second, an adjustable CNN is introduced to cope with this range-dependent super-resolution problem.177 This enables simple adjustment of the estimation parameters, providing a way for appropriate weights to be associated between fixed levels without additional training of the network.

Ding et al.178 proposed the Richardson–Lucy and knife-edge methods to restore the scanning image. A CW THz source was utilized to characterize the intensity distribution of a sample. CW THz sources have an advantage over pulsed systems for phase retrieval of samples through transmission or reflection imaging. In 2018, THz ptychography was performed at THz frequencies and then reconstruction was used to derive the amplitude and phase with both simulated and real data with a lateral resolution accounts to <2.179 In 2020, Rong et al. performed THz ptychographic imaging and resolution enhancement of a CW THz source’s diffraction patterns via a tilted plane correction. This technique can be used to retrieve the complex-valued object function while suppressing the negative effect of nonuniform illumination.180 If CW THz sources used for pychtography currently can be made more portable, an in-situ nondestructive THz radiation topographic metrology would become possible.

Xu et al.181 presented a high-resolution reconstruction model and algorithms for THz imaging using projection onto a convex set, iterative backprojection, Richardson–Lucy iteration, and 2D wavelet decomposition reconstruction. An automated THz spectral analysis algorithm faces difficulties due to the low-resolution THz spectrum image and results in an ineffective recognition of an analyzed sample’s distinct features. In 2020, a spectrum clustering recognition model based on t-distribution stochastic neighborhood embedding was proposed to address this ineffective sample defect recognition.182 This methodology is different from the traditional method to identify target defects through images, instead a resolution enhancement method is used to identify target defects via spectral clustering, which is an important auxiliary means to identify target defects through THz images.182

Ning et al.183 used a constrained least squares deconvolution algorithm and eliminated the PSF physically by measuring the sample of a 0.5-mm pinhole on the THz imaging system. The postprocessing of the experimental data, given for example a priori knowledge of the system 3D PSF applying computational imaging techniques, will also increase resolution.184 Many THz inspection systems such as those used for NDR are focused on deriving accurate 3D volumes without noise, and a common issue is a slow imaging time or scanning rate. Advanced methods require lengthy processing time per pixel and perform raster scanning to collect high-resolution THz data from across a sample.

Although the THz beam can be accurately modeling and matches experimental data, the simulated or modeled PSF cannot show the attenuation of the beam in the object. In addition, the diameter of the pinhole increases the uncertainty of the PSF.185 Ahi31 mathematically modeled the transmission PSF of the THz imaging systems within the scale of a Gaussian beam to enhance the resolution of the THz imaging. As a result of implementing this RET, the accuracy of the measurements on THz images has been improved from 2.4 to 0.1 mm and bond wires as small as 550  μm inside the packaging of the ICs are imaged. Bond wires are the intermediate-sized features in the IC package between the nanoscale features of the die and the macroscale pins and external connections.

In theory, the reflected THz signal is the convolution of the incident THz pulse, which should ideally consist of time-shifted ideal impulses corresponding to the material structure. The Fourier transform of this ideal impulse response function is broadband and represents the complex components of the sample through which the pulse has passed through across the entire frequency spectrum. Conventional deconvolution based on direct inverse filtering aims at retrieving the impulse response function by applying the inverse Fourier transform of the transfer function.186

THz systems have many sources of noise that can cause the generation and detection to deviate from modeling the PSF through deconvolution. For pulsed system specifically, there is fluctuation noise randomly generated by the ultrafast laser pulses. In addition, there is the shot noise in the THz detector and the thermal radiation noise generated during the running of the THz system.187

Therefore, it is important to model the impact of noise on THz signals when enhancing the resolution of the THz imaging outside of using deconvolution techniques alone. Ahi31 denoised the THz signal using the fast Fourier transform (FFT), by designing a filter (low-pass, high-pass, or band-pass) to block the frequency band where the noise is located. However, such FFT-based methods typically sacrifice spatial resolution due to the lack of time-frequency localization,188 and they cannot effectively separate high-frequency detail from noise when denoising nonstationary signals. This can result in oversmoothing owing to the loss of useful information.189

Mittleman et al.10 first proposed using a wavelet transform for THz signal processing, because the form of a THz pulse is similar to the common wavelet basis functions.190 Advanced methods in 2020 utilize autoregressive spectral extrapolation (AR) based on the modified covariance method (AR/MCM) and have compared it to Wiener filtering combined with wavelet denoising. AR/MCM does not discard any frequency components in the low signal-to-noise regions of the measured data, which can reduce noise but cannot effectively reconstruct information outside the high-SNR band.191

Due to the characteristics of time-frequency localization found using variable size time-windows for different frequency bands,192 the wavelet transform provides a powerful tool for improving SNR of the THz signal. In 2020, Zhang et al. proposed a method for THz image restoration using wavelet denoising with PSF model that enhanced the resolution and quality on the imaging detection of the IC chips with complex internal structures.34

Ahi et al. used THz-TDS methods combined with PSF-based image restoration techniques to characterize and identify quality control issues that can be used for authentication of packaged ICs30,193 shown in Fig. 18. This method was able to detect the presence of unexpected materials in counterfeit devices, blacktopping layers (used by counterfeiters to hide the original label and overprint a false one), shape and dimensions of hidden structures, sanded and contaminated devices, differences between internal structures of counterfeit and authentic devices, such as misshapen die-frames and bond-wires.92 In 2019, a review of polymer interactions frequency ranges from 0.5 to 5.0 THz were measured in several epoxy resin nanocomposites with and without coaddition of microsized fillers, aiming at obtaining important information on intermolecular interactions between filler and polymer.194 The characterization of ICs and their metallic and polymeric composition can be performed at high speed through transmission or reflectance mode THz imaging methods.

Fig. 18

A resolution enhancement process for THz imaging with enhanced resolution can be implemented in parallel with optics-based RETs and add to their effectiveness. This method is implemented as a system comprised of various resolution and quality enhancement techniques. In this system, filters in time and frequency domains are used to filter out the noise, low-frequency spectrum, and diffraction distortions. Reprinted with permission from Ref. 193, Copyright © 2019 Elsevier.

OE_60_6_060901_f018.png

5.4.3.

Transmission THz imaging

The IC structure is comprised of many different materials from plastic, ceramic, to metals. This variation in composition resulted in a differential attenuation during transmission-based inspection methods such as x-ray or THz imaging. This contrast is due to how each material absorbs x-ray or THz radiation, which enables distinguishing of internal features such as number of bond wires, die shape, or different types of polymers or fillers. THz imaging can resolve more spectral and depth information than x-ray, but is limited by highly attenuating features or samples. THz-TDS has the ability to correlate the time delay of a THz signal with different amplitudes can be used to isolate features layer by layer without the need for CT, THz-TDS reflected or transmitted pulses can represent layer thickness of varying material inside the IC packaging. This means THz can be used to nondestructively extract the interstructure of ICs in transmission similar to x-ray imaging without the need for multiple angles of collection to reconstruct the inner 3D structure. The direct relationship between IC THz imaging and x-ray imaging has been shown by Ahi et al.92 and can be used to increase the resolution of the THz image.175 However, the image quality of THz imaging is lower than x-ray imaging, but THz systems can operate at much higher collection speeds while offering a lower risk of operation for employees due to nonionizing radiation. For the IC counterfeit detection and quality control, THz imaging spatial resolution has been demonstrated as adequate for counterfeit identification (Figs. 19 and 20).

Fig. 19

Images of (a)–(f) an authentic IC and (g)–(l) counterfeit using various algorithmic reconstruction methods.195

OE_60_6_060901_f019.png

Fig. 20

THz reflection images on the left and optical images using a high-resolution microscope on the right. (a) An authentic IC. (b) A counterfeit recycled IC: the contaminated spot is obvious in the THz image. (c) Image of an IC which is sanded on one side. Reprinted with permission from Ref. 185, Copyright © 2018 Elsevier.

OE_60_6_060901_f020.png

THz nonionizing radiation offers more advantages for characterizing advanced packaging where low-k material is used as an IC substrate, and if inspected with excessive ionizing radiation such as x-ray, it can become irreversibly damaged. The THz laser source can be used to inspect these devices without any damage. Second, for the x-ray, the input laser will traverse through the packaged IC laterally, which will cause the attenuation of out-signal. This signal attenuation will cause the inaccuracy of some characterization, such as thickness measurement. However, for the THz imaging, the input signal will be perpendicular to the IC packaging, which has much lower signal attenuation. This will increase the accuracy of measurement, and for some broad packaging such as Quad Flat Package, this accuracy of THz measurement will be more attractive.185

5.4.4.

Reflection THz imaging

In addition, THz radiation shows different behaviors in interactions with different materials, and thus it can be used for characterization of the materials of the objects. Reflection far fired THz imaging was able to detect the presence of unexpected materials in counterfeit devices, blacktopping layers (used by counterfeiters to hide the original label and over-print a false one), surface sanded, and contaminated devices. Unwanted material with different thickness and composition will have different reflection index and absorption. This difference will cause both time delay and amplitude difference in THz-TDS. This method can also detect the presence of air bubbles and/or unwanted impurities, which means it can also be used for quality control during the manufacture. By extracting the reflective information from different layers of the ICs, the THz tomography can be extracted and build the 3D profile of the IC material composition.30 Although ATR-FTIR can also nondestructively detect the material composition of the ICs; however, it has several limitations, which makes it unsuitable for IC characterization. First, FTIR-ATR is limited by surface effects such as morphology, while the reflection mold extracts THz imaging. Without sample preparation such as polish, the noise from the surface roughness may affect the material characterization. Second, ATR-FTIR can only extract surface material composition without any volumetric information.

6.

Transistor Inspection

6.1.

Critical Dimension Metrology

The semiconductor industry is running out of metrology tools that are NDT while able to characterize atomic level deviations shown in Fig. 21. Electro-optical frequency mapping (EOFM) is responsive to carrier densities in electronic devices. EOFM demonstrates promising results for a characterization of vertical high-performance. (HBT) in SiGe:C BiCMOS by demonstrating distinguishable results of a golden and a faulty SiGe:C HBT.196 The semiconductor industry is testing new metrology methods capable of meeting the future requirements to characterize advanced 3D transistor structures shown in Fig. 22 where the CDs are <10 nm. X-ray scattering techniques are one candidate owing to the subwavelengths which are sensitive to internal changes in electron density.197 The semiconductor and nanotechnology industry anticipates facing future difficulties with quantitatively characterizing nanoscale patterns using conventional microscopy techniques, such as SEM, AFM, or optical scatterometry.198 New methods for CD measurements are required to expand the NDT options for needed characterization of structures during fabrication and FA workflows. Postsilicon testing using dynamic laser-based methods is increasing in adoption as it can identify defects in sub-20-nm FinFET technology nodes.199 Intense THz fields interacting with n-type InSb semiconductors has shown that as the THz field strength reaches to 1  MV/cm, its application for material characterization becomes considerable.200

Fig. 21

Schematic demonstration of the technology gap between the IC package size and the spatial resolution of NDT methods.66

OE_60_6_060901_f021.png

Fig. 22

IRDS roadmap showing the scaling of standard cell height and width through fin depopulation and device stacking, The IRDS more Moore roadmap details the challenges of realizing the required levels of reliability, which (1) scaling, (2) new materials and devices, (3) more demanding mission profiles (higher temperatures, extreme lifetimes, high currents), and (4) increasing constraints of time and money. These reliability challenges will be exacerbated by the need to introduce multiple major technology changes in a brief period of time. Figure reprinted from The International Roadmap For Devices And Systems © 2020 IEEE.

OE_60_6_060901_f022.png

6.2.

THz Conductivity

Silicon wafers dimensional accuracy from polishing and conductivity from doping are critical features measured throughout the fabrication process of an IC. THz time of flight systems such as TDS have been used to determine wafer thickness after polishing via an NDT.201 Thickness of the wafer affects electrical and structural properties, and noncontact methods enable in-line testing during fabrication.

THz-TDS has been successfully used to characterize the charge carrier density in silicon wafers showing that varying the illumination intensity affects the THz-TDS signal, because of the change of charge carrier density. THz-TDS silicon wafer measurements were compared the microwave photoconductive decay method (MWPCD) demonstrating that defective areas identified with the THz measurements coincide with those identified by the MWPCD method.202 THz is therefore comparable but is superior as it is an NDT method for wafer analysis as it is able to identify mechanical and electrical properties while MWPCD requires contact with the sample and only characterizes conductivity.

Since 1998, near-field imaging with ultrashort, broadband far-infrared pulses has been of interest for the use ever since demonstration of a resolution below THz wavelength (Lambda/4) upon a “resolution test pattern” demonstrating spatial resolution is limited by the size of the near-field tip’s aperture.203 In 2001, Hillenbrand et al. advanced scattering-type or apertureless SNOM to a spatial resolution of 1 and 10 nm, of gold upon silicon substrate, emphasizing the importance of future work into elimination of artifacts and the understanding of near-field contras in s-SNOM imaging.204

Adam’s review of near-field THz measurements methods and their applications in 2011 give an overview of the history of the field to describe the different approaches, applications, and perspectives for near-field.205 Since 2011, there has been extensive developments in the spatial resolution and capabilities of the THz near field. Keiser et al. presented advances in THz science and technology that rely on confining the energy of incident THz radiation to small, very subwavelength-sized regions with a focus on two broad areas of application for such field confinement: metamaterial-based nonlinear THz devices and THz near-field microscopy and spectroscopy techniques.206 Wang et al. reviewed the field of THz modulators based on different kinds of dynamic tunable metasurfaces combined with semiconductors, 2D electron gas heterostructures, superconductors, phase-transition materials, graphene, and other 2D material.207 Graphene is a critical material related to the success of THz modulation due to how graphene plasmon resonances have remarkably large oscillator strengths, resulting in prominent room-temperature optical absorption peaks compared to the low temperature requirements for other 2D electron gases. In 2011, Ju et al. developed a technique that enabled the fine control of graphene plasmon resonances over a broad THz frequency range by changing microribbon width and in situ electrostatic doping opening the door for widescale research into potential graphene-based THz metamaterials.208

Pala and Abbas209 reviewed the use of LTEM THz imaging at the nanoscale for VLSI and transistors. In the same year, Gu et al.210 integrated photoconductive silicon into the metamaterial unit cell, causing switching of the transparency window under excitation of ultrafast optical pulses such as THz, allowing for an optically tunable group delay of the THz light. This opened the possibility for designing chip-scale ultrafast devices for optical buffering and THz active filtering. Thereafter, graphene field effect transistors (FET) were utilized as an effect method of THz detection bringing THz detection to realistic settings, enabling large-area, fast imaging of macroscopic samples.211 Ferrari et al.212 reviewed the potential roadmap for graphene, 2D crystals, and hybrid systems detailing that graphene can exceed typical room temperature THz detection limits by exploiting THz plasma waves that are weakly damped in high-quality samples, allowing for resonant detection regimes in an FET.

Joyce et al.213 reviewed THz conductivity spectroscopy for silicon NWs to great success. Kužel and Němec214 reviewed THz spectroscopy of nanomaterials in 2019 identifying key advantages of THz conductivity analysis:

  • Inherently broad spectral range is an advantage for the data interpretation, since the interaction of carriers with the NC surface (confinement effects) and the bulk charge-carrier transport characteristics (such as mean scattering time) can be more easily distinguished in broader spectra.

  • Noncontact measurements using freely propagating THz pulses eliminate potential problems related to the presence and properties of electrical contacts.

  • Independent determination of the real and imaginary parts of the THz conductivity is possible due to the coherent generation and phase-sensitive detection of THz pulses. This is not possible in power-sensitive measurements (without an access to the phase) like in infrared reflectance spectroscopy; ad hoc fitting models or Kramers–Kronig relations are then used to reconstruct the complex value out of the measured real one, which can easily produce ambiguous results.

  • Subpicosecond time resolution is naturally achieved by splitting off a pump laser pulse used for sample photoexcitation. Controlled photoexcitation density is very helpful, e.g., for separating the influence of depolarization fields (localized plasmons) from the confined charge motion.

In 2019, THz microscopy and applications in semiconductor testing was presented by Xu et al., reviewing THz microscopy and detailing commercially available equipment that can enable near-field THz imaging from Protemics, NeaSpec, and Teraview.215

6.2.1.

Destructive THz wafer test method

A method for destructive THz-TDS doping profile measurements was demonstrated in 2015, where a silicon wafer was analyzed via a repetitive technique of THz-TDS and material removal via etching the surface.216 This iterative destructive removal and NDT THz-TDS measurement were combined to reconstruct the doping profile with a significantly higher resolution compared to what is only possible by a single NDT measurement of the surface. Doping concentration and profiling are critical for determination of transistor operation and composition, secondary ion mass spectrometry (SIMS), spreading resistance profiling (SRP), and electrochemical capacitance voltage (ECV) are standard inspection methods for transistor devices. SIMS operates destructively by sputtering ions across the surface with angstrom-level resolution. ECV and SRP techniques operate by detecting the profile of free carriers in microelectronic devices and mapping the dopant concentrations.

6.3.

Near Field (LTEM, S-SNOM, THz-AFM)

THZ-TDS pulsed methods have advanced in spatial and spectral resolution enabling smaller feature identification using the THz wavelength. These advances have resulted in the successful application of THz radiation to measure charge carrier dynamics in semiconductors materials. Due to the low energy of THz radiation (10s to 100 meV), THz wavelength responds to common semiconductor dynamics such as those of charged quasiparticles. Each quasiparticle such as free carrier has an identifiable fingerprint in the THz region providing a way to characterize the ultrafast physical electrical properties of semiconductor materials. This frequency region is also well suited for the study of phonon resonances and intraband transitions in low-dimensional systems. The nonequilibrium time evolution of carriers and low-energy excitations is critical to the understanding of how transistors and gates operate, and THz sources and detectors enable a time step not achievable with traditional optical or e-beam methods directly, a sub-ps time resolution. Being an all-optical technique, THz time-domain spectroscopy is contact-free and noninvasive and hence suited to probe the conductivity of, particularly, nanostructured materials that are difficult or impossible to access with other methods.54 Near-field TDS methods can enable rapid chemical spectroscopy and penetration beneath substrates such as silicon. THz-TDS methods are able to detect a sample’s spectral features using THz pulses and the known time delay of the pulsed signal, while LTEM methods are based upon a sample’s own generation of THz radiation such as photoconductive antenna of semiconductor components at the nanoscale. This emission of THz radiation is very low power but can be detected by probes near the surface, making this method exclusively near-field. At present, it is unclear which are the best measurement methods needed to evaluate the nanometer-scale features of semiconductor devices and how the fundamental limits of physics will affect the required metrology.217 S-SNOM systems offer the benefits of multiple tools such as AFM, LTEM, THz-TDI, and optical-pump THz-probe in the same configuration providing a spatial resolution on the nanoscale.218

6.4.

THz-AFM

Scanning microwave microscopy is based on similar principles to THz near field, imaging. SMM combined with THz has been used to image nanostructures 4 to 15 nm below a surface with 30 to 50 nm spatial resolution.219 This technique shows promise for an NDT of characterizing doping concentration of source drain regions along with dimensional analysis of transistor structures. THz near-field techniques have been combined with AFM to characterize surfaces with high detail; however, AFM requires contact with a surface and combined THz AFM systems are considered a destructive test method. Low cost near-field semiconductor analysis is a product of commercially available equipment such as PCAs can be used to generate a wide bandwidth pulse with frequencies from 0.1 to 3 THz. These PCAs pulses are then coupled with a probe consisting of metal, commercially available as an AFM tip. The probe size limits the resolution, and its size is specifically fabricated to create an antenna coupling with the peak wavelength of the incoming THz pulse. THz near-field signals are scattered by the metallic tip into the far-field region where these signals can be collected and focused onto the second PCA for detection. Due to the THz interactions with the metallic tip, only the frequencies up to 2 THz are efficiently scattered while the rest are absorbed. This limits the THz bandwidth of the near-field signal to below that of the PCA. The detection scheme for THz-AFM is identical to conventional THz-TDS, except THz signals are demodulated at higher order harmonics of the tapping frequency of the AFM tip to isolate the near-field signal of the scattered light as opposed to the large background noise present during collection.220

6.5.

LTEM

Non-THz-based optical methods such as near-field scanning optical microscopy (NSOM) of semiconductor materials have been used to obtain subwavelength resolution of surface features.221 However, this NSOM is only applicable to characterization of defects visible in IR or visible light not obscured by connections often found in the complex BEOL and FEOL structures.

Kawase presented LTEM imaging of VLSI noting that in the case of multilayered circuits, rear illumination through the substrate semiconductor of the chip is necessary because the multilevel interconnections in the chip prevent the generation and detection of THz emission.222

THz LTEM methods operate upon femtosecond lasers that can excite electrical structures such as transistors and circuitry and detect the emitted THz radiation for characterization. When the laser is incident on photoconductive structures, it generates a transient photocurrent, causing a THz pulse to be emitted. Structures capable of emitting THz, and therefore suitable to be examined by the LTEM, include photoconductive switches with an external bias voltage (i.e., Auston-type switches), unbiased interfaces carrying electric fields (e.g., p-n junctions, Schottky contacts), and some semiconductor surfaces.1 LTEM transmission measurements on an IC using SIL, with spatial resolution of 1.5  μM were performed in 2008, an example of this LTEM imaging can be seen in Fig. 23.223

Fig. 23

LTEM images of LSI fabricated by 180 nm process (a) without and (b) with a defect. The localization of LSI defects is one of the key issues for the current and future LSI developments.25 CMOS indicated by the red arrow in (b) includes a signal line disconnected artificially while fabricating, which makes the LTEM amplitude smaller, and the corresponding CMOS image becomes darker. (c) Note that the fs laser pulses are irradiated from the back side and the THz signals are detected from the back side because the front side is covered by metal layers. A GaP solid immersion lens is attached to the back side of the LSI, to improve the spatial resolution.223 The whole LTEM image size is 30×30  mm2, and a resolution estimated by the transition width from 20% to 80% of the amplitude is 360 nm as indicated in (d). Caption adapted from Mittleman.59

OE_60_6_060901_f023.png

Yamashita et al. developed and refined the hardware for an LTEM system, achieving a spatial resolution of a few μm in Refs. 19, 25, 224225.226.227. The progressive developments improved the spatial resolution of the technique while extending it to the backside of the device where THz signals in the near-field are captured without transmitted through the metal layers.25 The contrast mechanism of the LTEM imaging is based on the THz field amplitude and its proportion to the local electric field or the background noise. The amplitude can be plotted after a raster scan of the surface and used to develop an image of areas where THz emission is most intense. The capabilities of the LTEM were improved to a spatial resolution of 0.6  μm by incorporating near-field techniques.228 A related method was used to perform time-resolved transmission imaging, demonstrating measurements of carrier lifetimes and mobilities with a spatial resolution of 60  μm.229 Recent advances in LTEM and s-SNOM were compared by imaging a lightly p-doped InAs sample, and we were able to record waveforms with detector signal components demodulated up to the 6th and the 10th harmonic of the tip oscillation frequency and measure a THz near-field confinement down to 11 nm.230

6.6.

S-SNOM

Due to the nanoscale features of a transistors, an optical spatial resolution of <100  nm is necessary for transistor characterization. Huber et al. demonstrated an SNOM technique based on single frequency enables higher spectral resolution, a 2.54-THz gas laser, achieving a spatial resolution of under 50 nm.231 This technique of THz-SNOM imaging was able to detect individual transistors and their material composition while deriving electron carrier concentration. S-SNOM systems are currently too sophisticated for in-line monitoring of devices but can provide off-line characterization for FA. S-SNOM is based on a metal probe tip that scatters an incident beam, such as THZ, where the radiation becomes enhanced in the nearby area to the tip. The contrast in s-SNOM spectroscopy is based on dipole coupling between the probe tip and surface, which the metal probe is sensitive to the dielectric constant of the surface.232 By introduction of an optical gating beam on a semiconductor wafer, near-field THz imaging with a dynamic aperture has been realized. The spatial resolution is determined by the focus size of the optical gating bean and the near-field diffraction effect. THz imaging with subwavelength spatial resolution (better than 50  μm) is demonstrated. Especially, with the recent closing of the “THz gap” in s-SNOM operation, this nanoscope is well capable of quantifying the conductivity of state-of-the-art nanoelectronic devices, as well as for exploring exotic conduction mechanisms provided by heavy, correlated, Cooper-paired, or spin-aligned electrons.233 Recent adaptation of s-SNOM has been used to characterize NWs,42,234 2D materials, and nanoparticles. An s-SNOM method characterized the axial carrier density gradients in phosphorus-doped silicon NWs by quantitatively determining the carrier density and length of the doped segment as well as the functional form of the charge carrier gradient in the transition region between doped and nominally undoped segments.235 THz nanoimaging of exfoliated single and multilayer graphene flakes has been demonstrated using a state-of-the-art (s-SNOM) system.236 The interrogation depth or profiling depth of s-SNOM is a limitation in its ability to characterize structures behind layers, which currently limits the backside probing of transistors with s-SNOM. However, an s-SNOM method can sense the material contrast between gold and silicon under a PMMA layer with a thickness of larger than 100 nm and the subsurface resolution is better than 100 nm. The above studies illuminate the capability and potential application of s-SNOM in subsurface imaging and defect inspection at the nanometer-scale resolution.237 It has been shown that for metallic structures, the near-field material contrast of s-SNOM depends strongly on the size of the structures, although the spatial resolution is nearly independent of the structure size (Fig. 24).238

Fig. 24

(a) TEM image of a single transistor. The highly doped regions below the source and drain NiSi contacts are marked by dashed yellow lines. (b) Infrared image of a single transistor (λ=11  μm). (c) High-resolution THz image of a single transistor showing all essential parts of the transistor: source, drain, and gate. The THz profile extracted along the dashed white line (averaged over a width of 12 nm and normalized to the signal obtained on the metallic NiSi gate contact) allows the estimation of a spatial resolution of about 40 nm, from the strong signal change at the SiO2/SiN/NiSi transition.231

OE_60_6_060901_f024.png

Continual improvements in TEM workflow automation and quality control have enabled CD-TEM to be used as a complementary near-line metrology method, allowing large-scale process monitoring of 3D structures via direct measurement for the first time.239 This process is reliant upon sample preparation and for effective characterization the sample thickness must be optimized during sectioning to isolate the feature of interest without capturing neighboring devices. CW lasers have been combined with TEM to achieve attosecond time resolution of spectroscopic features. In addition, this technique also shows how simple modifications can turn almost any electron microscope into an attosecond instrument, useful for visualizing atomic light–matter interactions. This combined method is achieved by synchronizing the optical cycles of a CW laser to bunch the electron beam inside the TEM into electron pulses that are shorter than half a cycle of light. The pulses arrive at the target at almost the full average brightness of the electron source and in synchrony to the optical cycles.240

EUV lithography is currently being used for HVM at the 7- and 5-nm node. This new lithography method will reduce the manufacturing complexity since it enables the return to the use of single exposure method to pattern the critical layers.241 There are many challenges for the fabrication of transistors at this scale and complexity, which have to be dealt and solved. Radamson et al. reviewed the transition from 2D planar MOSFETs to 3D fin field effective transistors (FinFETs) and how the process flow faces different technological challenges. Issues faced by the semiconductor industry were covered such as nanoscaled patterning and process issues related to gate and (source/drain) S/D formation as well as integration of III-V materials for high carrier mobility in channel for future FinFETs.242

As more complex transistor devices reach the atomic scale, there will a requirement for extreme high spatial resolution at fast scanning speeds. 2D devices offer opportunities to surpass the Von Neumann architecture243 opening a path beyond CMOS and toward THz frequencies transistor operation; however, these new memory and logic devices offer new opportunities for malicious design at the transistor level.244

7.

Conclusions

Various THz inspection methods are currently used for quality assurance across industries, emerging from the lab into commercial and scientific applications in the real world. As the price of sources, detectors, and accompanying hardware necessary for THz inspection decreases, there will be increased adoption of these advanced systems in the commercial sector. Currently, many THz methods are successfully demonstrated in laboratory conditions but struggle with economics for commercial applications or fail to achieve similar effects during real world testing in the harsh dynamic environments of manufacturing facilities. For this reason, THz inspection methods are poised to be initially adopted in the highly controlled clean room environments of semiconductor fab facilities where humidity among other factors can be appropriately monitored and controlled. THz radiation offers extreme spectral precision across many frequencies and polarizations enabling a high level of accuracy to enable automated classification systems. The wide bandwidth and femtosecond data collection speed of THz tools create vast amounts of data to process, sort, and classify. Artificial intelligence systems are being combined with THz tools to pair high quality data collection with advanced data analytics to enable real-time inspection on seemingly uncharacteristic information to the human operator. As semiconductor devices become more complex with smaller features, advanced inspection techniques will be needed across all scales to keep up with the demand for verification and quality assurance. THz radiation can allow for high-throughput passive monitoring of sensitive semiconductor devices during fabrication that might be damaged due to x-ray, E-beam, or optical beam-induced current techniques. Due to the unique signature of the radiation in the THz range, spectroscopic fingerprints can be detected to uniquely identify materials which will enable many anomalous or counterfeit detection methods to be developed from THz tools.

8.

Appendix

Terahertz inspection is an emerging area for semiconductor metrology, and an understanding of the currently available methods is critical to determine where THz can contribute. Inspection methods at different size scales have their advantages and disadvantages. The future roadmap of THz inspection tools will be intimately tied to the limitations of the current non-destructive technologies. As the costs for THz hardware decrease, the THz gap will vanish and reveal this underutilized spectral region for all scales of semiconductor characterization (Figs. 25, 26, and 27).

Fig. 25

IC NDT packaging test methods are detailed and presented for comparison purposes.66

OE_60_6_060901_f025.png

Fig. 26

Transistor scale critical dimensional metrology methods where “in-line” means that it could be used inside a semiconductor manufacturing fabrication (fab) environment. Reprinted with permission from Ref. 217 Springer: Nature Electronics.

OE_60_6_060901_f026.png

Fig. 27

PCB NDT methods.75

OE_60_6_060901_f027.png

References

1. 

M. Naftaly, N. Vieweg and A. Deninger, “Industrial applications of terahertz sensing: state of play,” Sensors (Basel, Switzerland), 19 4203 (2019). https://doi.org/10.3390/s19194203 Google Scholar

2. 

G. A. Mourou and K. E. Meyer, “Subpicosecond electro-optic sampling using coplanar strip transmission lines,” Appl. Phys. Lett., 45 (5), 492 –494 (1984). https://doi.org/10.1063/1.95312 APPLAB 0003-6951 Google Scholar

3. 

P. R. Smith, D. H. Auston and M. C. Nuss, “Subpicosecond photoconducting dipole antennas,” IEEE J. Quantum Electron., 24 (2), 255 –260 (1988). https://doi.org/10.1109/3.121 IEJQA7 0018-9197 Google Scholar

4. 

C. Fattinger and D. Grischkowsky, “Terahertz beams,” Appl. Phys. Lett., 54 (6), 490 –492 (1989). https://doi.org/10.1063/1.100958 APPLAB 0003-6951 Google Scholar

5. 

D. Grischkowsky et al., “Far-infrared time-domain spectroscopy with terahertz beams of dielectrics and semiconductors,” J. Opt. Soc. Am. B, 7 2006 –2015 (1990). https://doi.org/10.1364/JOSAB.7.002006 JOBPDE 0740-3224 Google Scholar

6. 

J. Faist et al., “Quantum cascade laser,” Science, 264 (5158), 553 –556 (1994). https://doi.org/10.1126/science.264.5158.553 SCIEAS 0036-8075 Google Scholar

7. 

R. Köhler et al., “Terahertz semiconductor-heterostructure laser,” Nature, 417 156 –159 (2002). https://doi.org/10.1038/417156a Google Scholar

8. 

M. Beck et al., “Continuous wave operation of a mid-infrared semiconductor laser at room temperature,” Science, 295 (5553), 301 –305 (2002). https://doi.org/10.1126/science.1066408 SCIEAS 0036-8075 Google Scholar

9. 

B. B. Hu and M. C. Nuss, “Imaging with terahertz waves,” Opt. Lett., 20 1716 –1718 (1995). https://doi.org/10.1364/OL.20.001716 OPLEDP 0146-9592 Google Scholar

10. 

D. M. Mittleman et al., “Noncontact semiconductor wafer characterization with the terahertz Hall effect,” Appl. Phys. Lett., 71 (1), 16 –18 (1997). https://doi.org/10.1063/1.119456 APPLAB 0003-6951 Google Scholar

11. 

J. V. Rudd, D. A. Zimdars and M. W. Warmuth, “Compact fiber-pigtailed terahertz imaging system,” Proc. SPIE, 3934 27 –35 (2000). https://doi.org/10.1117/12.386344 Google Scholar

12. 

M. C. Beard, G. M. Turner and C. A. Schmuttenmaer, “Size-dependent photoconductivity in CdSe nanoparticles as measured by time-resolved terahertz spectroscopy,” Nano Lett., 2 983 –987 (2002). https://doi.org/10.1021/nl0256210 NALEFD 1530-6984 Google Scholar

13. 

M. Herrmann et al., “Terahertz imaging of silicon wafers,” J. Appl. Phys., 91 (3), 1247 –1250 (2002). https://doi.org/10.1063/1.1429772 JAPIAU 0021-8979 Google Scholar

14. 

P. U. Jepsen et al., “Metal-insulator phase transition in a VO2 thin film observed with terahertz spectroscopy,” Phys. Rev. B, 74 205103 (2006). https://doi.org/10.1103/PhysRevB.74.205103 Google Scholar

15. 

R. Mendis, “Guided-wave THz time-domain spectroscopy of highly doped silicon using parallel-plate waveguides,” Electron. Lett., 42 (1), 19 –27 (2006). https://doi.org/10.1049/el:20063418 ELLEAK 0013-5194 Google Scholar

16. 

H.-T. Chen, R. Kersting and G. C. Cho, “Terahertz imaging with nanometer resolution,” Appl. Phys. Lett., 83 (15), 3009 –3011 (2003). https://doi.org/10.1063/1.1616668 APPLAB 0003-6951 Google Scholar

17. 

T. Kiwa et al., “Laser terahertz-emission microscope for inspecting electrical faults in integrated circuits,” Opt. Lett., 28 2058 –2060 (2003). Google Scholar

18. 

E. Keenan et al., “Terahertz and laser imaging for printed circuit board failure detection,” in Proc. AUTOTESTCON 2004, 563 –569 (2004). Google Scholar

19. 

M. Yamashita et al., “Imaging of large-scale integrated circuits using laser terahertz emission microscopy,” Opt. Express, 13 115 –120 (2005). https://doi.org/10.1364/OPEX.13.000115 OPEXFF 1094-4087 Google Scholar

20. 

R. Wilk et al., “THz time-domain spectrometer based on LT-InGaAs photoconductive antennas exited by a 1.55  μm fibre laser,” in Conf. Lasers and Electro-Opt. (CLEO), 1 –2 (2007). Google Scholar

21. 

B. Sartorius et al., “All-fiber terahertz time-domain spectrometer operating at 1.5  μm telecom wavelengths,” Opt. Express, 16 9565 –9570 (2008). https://doi.org/10.1364/OE.16.009565 OPEXFF 1094-4087 Google Scholar

22. 

H.-T. Chen et al., “Active terahertz metamaterial devices,” Nature, 444 597 –600 (2006). https://doi.org/10.1038/nature05343 Google Scholar

23. 

H. Tao et al., “A metamaterial absorber for the terahertz regime: design, fabrication and characterization,” Opt. Express, 16 7181 –7188 (2008). https://doi.org/10.1364/OE.16.007181 OPEXFF 1094-4087 Google Scholar

24. 

K. Wang and D. M. Mittleman, “Metal wires for terahertz wave guiding,” Nature, 432 376 –379 (2004). https://doi.org/10.1038/nature03040 Google Scholar

25. 

M. Yamashita et al., “THz emission characteristics from p/n junctions with metal lines under non-bias conditions for LSI failure analysis,” Opt. Express, 19 10864 –10873 (2011). https://doi.org/10.1364/OE.19.010864 OPEXFF 1094-4087 Google Scholar

26. 

T. Otsuji et al., “Emission and detection of terahertz radiation using two-dimensional plasmons in semiconductor nanoheterostructures for nondestructive evaluations,” Opt. Eng., 53 (3), 031206 (2013). https://doi.org/10.1117/1.OE.53.3.031206 Google Scholar

27. 

N. Burford, M. El-Shenawee and K. Olejniczak, “Terahertz imaging for nondestructive evaluation of packaged power electronic devices,” Int. J. Emerging Technol. Adv. Eng., 4 (1), (2014). Google Scholar

28. 

M. Eisele et al., “Ultrafast multi-terahertz nano-spectroscopy with sub-cycle temporal resolution,” Nat. Photonics, 8 841 –845 (2014). https://doi.org/10.1038/nphoton.2014.225 NPAHBY 1749-4885 Google Scholar

29. 

T. Kan et al., “Enantiomeric switching of chiral metamaterial for terahertz polarization modulation employing vertically deformable MEMS spirals,” Nat. Commun., 6 8422 (2015). https://doi.org/10.1038/ncomms9422 NCAOBW 2041-1723 Google Scholar

30. 

K. Ahi and M. Anwar, “Advanced terahertz techniques for quality control and counterfeit detection,” Proc. SPIE, 9856 98560G (2016). https://doi.org/10.1117/12.2228684 PSISDG 0277-786X Google Scholar

31. 

K. Ahi, “Mathematical modeling of THz point spread function and simulation of THz imaging systems,” IEEE Trans. Terahertz Sci. Technol., 7 (6), 747 –754 (2017). https://doi.org/10.1109/TTHZ.2017.2750690 Google Scholar

32. 

Q. Mao et al., “Convolutional neural network model based on terahertz imaging for integrated circuit defect detections,” Opt. Express, 28 5000 –5012 (2020). https://doi.org/10.1364/OE.384146 OPEXFF 1094-4087 Google Scholar

33. 

Z. Long et al., “Terahertz image super-resolution based on a deep convolutional neural network,” Appl. Opt., 58 (10), 2731 (2019). https://doi.org/10.1364/AO.58.002731 APOPAI 0003-6935 Google Scholar

34. 

Z. Zhang et al., “Restoration of integrated circuit terahertz image based on wavelet denoising technique and the point spread function model,” Opt. Lasers Eng., 138 106413 (2021). https://doi.org/10.1016/j.optlaseng.2020.106413 Google Scholar

35. 

J. Yao, Z. Zheng and G. Yang, “Production of large-area 2d materials for high-performance photodetectors by pulsed-laser deposition,” Prog. Mater. Sci., 106 100573 (2019). https://doi.org/10.1016/j.pmatsci.2019.100573 PRMSAQ 0079-6425 Google Scholar

36. 

P. Han, X. Wang and Y. Zhang, “Time-resolved terahertz spectroscopy studies on 2d van der Waals materials,” Adv. Opt. Mater., 8 (3), 1900533 (2020). https://doi.org/10.1002/adom.201900533 2195-1071 Google Scholar

37. 

D. Zhao and E. E. M. Chia, “Free carrier, exciton, and phonon dynamics in lead-halide perovskites studied with ultrafast terahertz spectroscopy,” Adv. Opt. Mater., 8 (3), 1900783 (2020). https://doi.org/10.1002/adom.201900783 2195-1071 Google Scholar

38. 

D. S. Rana and M. Tonouchi, “Terahertz emission functionality of high-temperature superconductors and similar complex systems,” Adv. Opt. Mater., 8 (3), 1900892 (2020). https://doi.org/10.1002/adom.201900892 2195-1071 Google Scholar

39. 

P. Gopalan and B. Sensale-Rodriguez, “2d materials for terahertz modulation,” Adv. Opt. Mater., 8 (3), 1900550 (2020). https://doi.org/10.1002/adom.201900550 2195-1071 Google Scholar

40. 

H. A. Hafez et al., “Terahertz nonlinear optics of graphene: from saturable absorption to high-harmonics generation,” Adv. Opt. Mater., 8 (3), 1900771 (2020). https://doi.org/10.1002/adom.201900771 2195-1071 Google Scholar

41. 

X. Chen et al., “Electrically tunable perfect terahertz absorber based on a graphene salisbury screen hybrid metasurface,” Adv. Opt. Mater., 8 (3), 1900660 (2020). https://doi.org/10.1002/adom.201900660 2195-1071 Google Scholar

42. 

N. van Hoof et al., “Terahertz time-domain spectroscopy and near-field microscopy of transparent silver nanowire networks,” Adv. Opt. Mater., 8 (3), 1900790 (2020). 2195-1071 Google Scholar

43. 

A. Kumar et al., “Excitons in 2d perovskites for ultrafast terahertz photonic devices,” Sci. Adv., 6 (8), eaax8821 (2020). https://doi.org/10.1126/sciadv.aax8821 STAMCV 1468-6996 Google Scholar

44. 

D. Neumaier et al., “Integrating graphene into semiconductor fabrication lines,” Nat. Mater., 18 525 –529 (2019). https://doi.org/10.1038/s41563-019-0359-7 Google Scholar

45. 

N. B. Lawler et al., “Convergence of terahertz radiation and nanotechnology,” J. Mater. Chem. C, 8 10942 –10955 (2020). https://doi.org/10.1039/D0TC01716G Google Scholar

46. 

G. Conibeer, “Third-generation photovoltaics,” Mater. Today, 10 (11), 42 –50 (2007). https://doi.org/10.1016/S1369-7021(07)70278-X MATOBY 1369-7021 Google Scholar

47. 

H. Nakanishi et al., “Imaging of a polycrystalline silicon solar cell using a laser terahertz emission microscope,” Appl. Phys. Express, 5 112301 (2012). https://doi.org/10.1143/APEX.5.112301 APEPC4 1882-0778 Google Scholar

48. 

H. Nakanishi et al., “Comparison between laser terahertz emission microscope and conventional methods for analysis of polycrystalline silicon solar cell,” AIP Adv., 5 (11), 117129 (2015). https://doi.org/10.1063/1.4935913 AAIDBI 2158-3226 Google Scholar

49. 

M. Tonouchi, “Laser terahertz emission microscope for real world application (Conference Presentation),” in ), 111240T (2019). https://doi.org/10.1117/12.2530070 Google Scholar

50. 

J. A. Zeitler and Y.-C. Shen, Industrial Applications of Terahertz Imaging, 451 –489 Springer Berlin Heidelberg, Berlin, Heidelberg (2013). Google Scholar

51. 

Y. H. Tao, A. J. Fitzgerald and V. P. Wallace, “Non-contact, non-destructive testing in various industrial sectors with terahertz technology,” Sensors (Switzerland), 20 (3), 712 (2020). https://doi.org/10.3390/s20030712 Google Scholar

52. 

P. Gu and M. Tani, Terahertz Radiation from Semiconductor Surfaces, 63 –98 Springer Berlin Heidelberg, Berlin, Heidelberg (2005). Google Scholar

53. 

M. Tonouchi, “Cutting-edge terahertz technology,” Nat. Photonics, 1 97 –105 (2007). https://doi.org/10.1038/nphoton.2007.3 NPAHBY 1749-4885 Google Scholar

54. 

R. Ulbricht et al., “Carrier dynamics in semiconductors studied with time-resolved terahertz spectroscopy,” Rev. Mod. Phys., 83 543 –586 (2011). https://doi.org/10.1103/RevModPhys.83.543 RMPHAT 0034-6861 Google Scholar

55. 

S. S. Dhillon et al., “The 2017 terahertz science and technology roadmap,” J. Phys. D: Appl. Phys., 50 (4), (2017). https://doi.org/10.1088/1361-6463/50/4/043001 JPAPBE 0022-3727 Google Scholar

56. 

R. J. Dietz et al., “Influence and adjustment of carrier lifetimes in InGaAs/InAlAs photoconductive pulsed terahertz detectors: 6 THz bandwidth and 90 dB dynamic range,” Opt. Express, 22 19411 –19422 (2014). https://doi.org/10.1364/OE.22.019411 OPEXFF 1094-4087 Google Scholar

57. 

N. M. Burford and M. O. El-Shenawee, “Review of terahertz photoconductive antenna technology,” Opt. Eng., 56 (1), 010901 (2017). https://doi.org/10.1117/1.OE.56.1.010901 Google Scholar

58. 

D. M. Mittleman, “Perspective: terahertz science and technology,” J. Appl. Phys., 122 (23), 230901 (2017). https://doi.org/10.1063/1.5007683 JAPIAU 0021-8979 Google Scholar

59. 

D. M. Mittleman, “Twenty years of terahertz imaging [Invited],” Opt. Express, 26 9417 –9431 (2018). https://doi.org/10.1364/OE.26.009417 OPEXFF 1094-4087 Google Scholar

60. 

P. Hillger et al., “Terahertz imaging and sensing applications with silicon-based technologies,” IEEE Trans. Terahertz Sci. Technol., 9 (1), 1 –19 (2019). https://doi.org/10.1109/TTHZ.2018.2884852 Google Scholar

61. 

J. Hesler, R. Prasankumar and J. Tignon, “Advances in terahertz solid-state physics and devices,” J. Appl. Phys., 126 (11), 110401 (2019). https://doi.org/10.1063/1.5122975 JAPIAU 0021-8979 Google Scholar

62. 

T. Mochizuki et al., “Noncontact evaluation of electrical passivation of oxidized silicon using laser terahertz emission microscope and corona charging,” J. Appl. Phys., 125 (15), 151615 (2019). https://doi.org/10.1063/1.5083674 JAPIAU 0021-8979 Google Scholar

63. 

B. Li, K. Hu and Y. Shen, “A scientometric analysis of global terahertz research by web of science data,” IEEE Access, 8 56092 –56112 (2020). https://doi.org/10.1109/ACCESS.2020.2981999 Google Scholar

64. 

R. A. Lewis, “A review of terahertz sources,” J. Phys. D: Appl. Phys., 47 374001 (2014). https://doi.org/10.1088/0022-3727/47/37/374001 JPAPBE 0022-3727 Google Scholar

65. 

G. Liu, “Visualization of patents and papers in terahertz technology: a comparative study,” Scientometrics, 94 1037 –1056 (2013). https://doi.org/10.1007/s11192-012-0782-x SCNTDX 0138-9130 Google Scholar

66. 

P. Aryan, S. Sampath and H. Sohn, “An overview of non-destructive testing methods for integrated circuit packaging inspection,” Sensors (Basel), 18 (7), 1981 (2018). https://doi.org/10.3390/s18071981 Google Scholar

67. 

S. Narasimhan and S. Bhunia, Hardware Trojan Detection, 339 –364 Springer, New York (2012). Google Scholar

68. 

U. Guin, D. DiMase and M. Tehranipoor, “Counterfeit integrated circuits: detection, avoidance, and the challenges ahead,” J. Electron. Testing, 30 9 –23 (2014). https://doi.org/10.1007/s10836-013-5430-8 JTTAER 0923-8174 Google Scholar

69. 

S. F. Al-Sarawi, D. Abbott and P. D. Franzon, “A review of 3-d packaging technology,” IEEE Trans. Comp. Packaging, and Manuf. Technol. Part B, 21 (1), 2 –14 (1998). https://doi.org/10.1109/96.659500 Google Scholar

70. 

U. Guin et al., “Counterfeit integrated circuits: a rising threat in the global semiconductor supply chain,” Proc. IEEE, 102 1207 –1228 (2014). https://doi.org/10.1109/JPROC.2014.2332291 IEEPAD 0018-9219 Google Scholar

71. 

C. Dong et al., “Hardware Trojans in chips: a survey for detection and prevention,” Sensors, 20 (18), 5165 (2020). https://doi.org/10.3390/s20185165 SNSRES 0746-9462 Google Scholar

72. 

C. L. Henderson, “Failure analysis techniques for a 3d world,” Microelectron. Reliab., 53 (9), 1171 –1178 (2013). https://doi.org/10.1016/j.microrel.2013.06.022 MCRLAS 0026-2714 Google Scholar

73. 

S. Bhunia et al., “Hardware Trojan attacks: threat analysis and countermeasures,” Proc. IEEE, 102 (8), 1229 –1247 (2014). https://doi.org/10.1109/JPROC.2014.2334493 IEEPAD 0018-9219 Google Scholar

74. 

J. M. Chin et al., “Fault isolation in semiconductor product, process, physical and package failure analysis: importance and overview,” Microelectron. Reliab., 51 1440 –1448 (2011). https://doi.org/10.1016/j.microrel.2011.06.061 MCRLAS 0026-2714 Google Scholar

75. 

D. Mehta et al., “The big hack explained: detection and prevention of PCB supply chain implants,” J. Emerg. Technol. Comput. Syst., 16 1 –25 (2020). https://doi.org/10.1145/3401980 Google Scholar

76. 

W. Li, B. Esders and M. Breier, “SMD segmentation for automated PCB recycling,” in 11th IEEE Int. Conf. Industrial Inf. (INDIN), 65 –70 (2013). Google Scholar

77. 

G. Copani et al., Integrated Technological Solutions for Zero Waste Recycling of Printed Circuit Boards (PCBs), 149 –169 Springer International Publishing, Cham (2019). Google Scholar

78. 

J. Li et al., “Printed circuit board recycling: a state-of-the-art survey,” IEEE Trans. Electron. Packaging Manuf., 27 (1), 33 –42 (2004). https://doi.org/10.1109/TEPM.2004.830501 Google Scholar

79. 

R. Holonec et al., “Techniques for sorting components from dismembered medical PCBs,” in 6th Int. Conf. Adv. of Med. and Health Care through Technol.; 17–20 October 2018, 59 –64 (2019). Google Scholar

80. 

N. Asadizanjani et al., “Non-destructive PCB reverse engineering using x-ray micro computed tomography,” in Conf. Proc. 41st Int. Symp. Testing and Failure Analysis, 164 –172 (2015). Google Scholar

81. 

K. Xiao et al., “Hardware Trojans: lessons learned after one decade of research,” ACM Trans. Des. Autom. Electron. Syst., 22 1 –23 (2016). https://doi.org/10.1145/2906147 Google Scholar

82. 

M. M. Tehranipoor, U. Guin and D. Forte, Counterfeit Integrated Circuits, 15 –36 Springer International Publishing, Cham (2015). Google Scholar

83. 

Y. Li et al., “High resolution and fast throughput-time x-ray computed tomography for semiconductor packaging applications,” in IEEE 64th Electron. Comp. and Technol. Conf. (ECTC), 1457 –1463 (2014). https://doi.org/10.1109/ECTC.2014.6897485 Google Scholar

84. 

M. Howells et al., “An assessment of the resolution limitation due to radiation-damage in x-ray diffraction microscopy,” J. Electron Spectrosc. Related Phenom., 170 (1), 4 –12 (2009). https://doi.org/10.1016/j.elspec.2008.10.008 JESRAW 0368-2048 Google Scholar

85. 

M. Holler et al., “Three-dimensional imaging of integrated circuits with macro- to nanoscale zoom,” Nat. Electron., 2 464 –470 (2019). https://doi.org/10.1038/s41928-019-0309-z NEREBX 0305-2257 Google Scholar

86. 

Y. Wang et al., Interconnect Quality and Reliability of 3D Packaging, 375 –420 Springer International Publishing, Cham (2017). Google Scholar

87. 

S. Mitra, H. P. Wong and S. Wong, “The Trojan-proof chip,” IEEE Spectrum, 52 46 –51 (2015). https://doi.org/10.1109/MSPEC.2015.7024511 IEESAM 0018-9235 Google Scholar

88. 

G. T. Becker et al., “Stealthy dopant-level hardware Trojans,” Cryptographic Hardware and Embedded Systems – CHES 2013, 197 –214 Springer Berlin Heidelberg, Berlin, Heidelberg (2013). Google Scholar

89. 

N. Varshney et al., “He-ion beam imaging for accurate hardware Trojan detection,” Microsc. Microanal., 26 1 –3 (2020). https://doi.org/10.1017/S1431927620013732 MIMIF7 1431-9276 Google Scholar

90. 

T. S. Rappaport et al., “Wireless communications and applications above 100 GHz: opportunities and challenges for 6g and beyond,” IEEE Access, 7 78729 –78757 (2019). https://doi.org/10.1109/ACCESS.2019.2921522 Google Scholar

91. 

S. Zhong, “Progress in terahertz nondestructive testing: a review,” Front. Mech. Eng., 14 273 –281 (2019). https://doi.org/10.1007/s11465-018-0495-9 Google Scholar

92. 

K. Ahi et al., “Terahertz characterization of electronic components and comparison of terahertz imaging with x-ray imaging techniques,” Proc. SPIE, 9483 94830K (2015). https://doi.org/10.1117/12.2183128 PSISDG 0277-786X Google Scholar

93. 

D. Nusser and J. Jonuscheit, “Terahertz Based Non-Destructive Testing (NDT),” tm – Technisches Messen(2020). Google Scholar

94. 

A. Rice et al., “Terahertz optical rectification from <110> zinc-blende crystals,” Appl. Phys. Lett., 64 (11), 1324 –1326 (1994). https://doi.org/10.1063/1.111922 APPLAB 0003-6951 Google Scholar

95. 

R. A. Lewis, “A review of terahertz detectors,” J. Phys. D: Appl. Phys., 52 433001 (2019). https://doi.org/10.1088/1361-6463/ab31d5 JPAPBE 0022-3727 Google Scholar

96. 

N. Karpowicz et al., “Comparison between pulsed terahertz time-domain imaging and continuous wave terahertz imaging,” Semicond. Sci. Technol., 20 S293 –S299 (2005). https://doi.org/10.1088/0268-1242/20/7/021 SSTEET 0268-1242 Google Scholar

97. 

R. Safian, G. Ghazi and N. Mohammadian, “Review of photomixing continuous-wave terahertz systems and current application trends in terahertz domain,” Opt. Eng., 58 (11), 110901 (2019). https://doi.org/10.1117/1.OE.58.11.110901 Google Scholar

98. 

T. Tanabe and Y. Oyama, “Terahertz non-destructive monitoring for infrastructure components,” in Asia-Pac. Microwave Conf. (APMC), 1471 –1473 (2018). Google Scholar

99. 

A. Gürtler et al., “Terahertz pulse propagation in the near field and the far field,” J. Opt. Soc. Am. A, 17 74 –83 (2000). https://doi.org/10.1364/JOSAA.17.000074 JOAOD6 0740-3232 Google Scholar

100. 

A. J. L. Adam et al., “Advanced terahertz electric near-field measurements at sub-wavelength diameter metallic apertures,” Opt. Express, 16 7407 –7417 (2008). https://doi.org/10.1364/OE.16.007407 OPEXFF 1094-4087 Google Scholar

101. 

R. I. Stantchev et al., “Real-time terahertz imaging with a single-pixel detector,” Nat. Commun., 11 2535 (2020). https://doi.org/10.1038/s41467-020-16370-x NCAOBW 2041-1723 Google Scholar

102. 

P. U. Jepsen, “Phase retrieval in terahertz time-domain measurements: a “how to” tutorial,” J. Infrared, Millimeter, Waves, 40 395 –411 (2019). https://doi.org/10.1007/s10762-019-00578-0 Google Scholar

103. 

H. Guerboukha, K. Nallappan and M. Skorobogatiy, “Toward real-time terahertz imaging,” Adv. Opt. Photonics, 10 843 –938 (2018). https://doi.org/10.1364/AOP.10.000843 AOPAC7 1943-8206 Google Scholar

104. 

S. Ghosh, A. Basak and S. Bhunia, “How secure are printed circuit boards against Trojan attacks?,” IEEE Design Test, 32 (2), 7 –16 (2015). https://doi.org/10.1109/MDAT.2014.2347918 Google Scholar

105. 

A. A. R. M. A. Ebayyeh and A. Mousavi, “A review and analysis of automatic optical inspection and quality monitoring methods in electronics industry,” IEEE Access, 8 183192 –183271 (2020). https://doi.org/10.1109/ACCESS.2020.3029127 Google Scholar

106. 

Y. Wang, M. Wang and Z. Zhang, “Microfocus x-ray printed circuit board inspection system,” Optik, 125 (17), 4929 –4931 (2014). https://doi.org/10.1016/j.ijleo.2014.04.027 OTIKAJ 0030-4026 Google Scholar

107. 

G. Leinbach and S. Oresjo, “The why, where, what, how, and when of automated x-ray inspection by,” (2001). Google Scholar

108. 

U. J. Botero et al., “Hardware trust and assurance through reverse engineering: a survey and outlook from image analysis and machine learning perspectives,” (2020). Google Scholar

109. 

G. Vishwakarma and W. Lee, “Exploiting JTAG and its mitigation in IOT: a survey,” Fut. Internet, 10 121 (2018). https://doi.org/10.3390/fi10120121 Google Scholar

110. 

M. Tamagnone et al., “Graphene reflectarray metasurface for terahertz beam steering and phase modulation,” (2018). Google Scholar

111. 

S. Sonkusale et al., “Terahertz metamaterials for modulation and detection,” Proc. SPIE, 9483 948306 (2015). https://doi.org/10.1117/12.2182471 PSISDG 0277-786X Google Scholar

112. 

L. Qi and C. Liu, “Terahertz wide-angle metamaterial absorber fabricated by printed circuit board technique,” J. Appl. Phys., 124 (15), 153101 (2018). https://doi.org/10.1063/1.5046520 JAPIAU 0021-8979 Google Scholar

113. 

G. Beziuk et al., “Dielectric properties of the FR-4 substrates in the THz frequency range,” in 37th Int. Conf. Infrared, Millimeter and Terahertz Waves, 1 –2 (2012). Google Scholar

114. 

S.-H. Kim and A. Zajić, “Characterization of 300-GHz wireless channel on a computer motherboard,” IEEE Trans. Antennas Propag., 64 5411 –5423 (2016). https://doi.org/10.1109/TAP.2016.2620598 IETPAK 0018-926X Google Scholar

115. 

W. L. Chan et al., “A single-pixel terahertz imaging system based on compressed sensing,” Appl. Phys. Lett., 93 (12), 121105 (2008). https://doi.org/10.1063/1.2989126 APPLAB 0003-6951 Google Scholar

116. 

H. Shen et al., “Spinning disk for compressive imaging,” Opt. Lett., 37 46 –48 (2012). https://doi.org/10.1364/OL.37.000046 OPLEDP 0146-9592 Google Scholar

117. 

A. Vallés et al., “Broadband high-resolution terahertz single-pixel imaging,” Opt. Express, 28 28868 –28881 (2020). https://doi.org/10.1364/OE.404143 OPEXFF 1094-4087 Google Scholar

118. 

F. Rutz et al., “Terahertz quality control of polymeric products,” International J. Infrared Millimeter Waves, 27 547 –556 (2006). https://doi.org/10.1007/s10762-006-9106-7 Google Scholar

119. 

J. Wang et al., “Terahertz nondestructive imaging for foreign object detection in glass fibre-reinforced polymer composite panels,” Infrared Phys. Technol., 98 36 –44 (2019). https://doi.org/10.1016/j.infrared.2019.02.003 IPTEEY 1350-4495 Google Scholar

120. 

A. Fricke et al., “A model for the reflection of terahertz signals from printed circuit board surfaces,” in 11th Eur. Conf. Antennas Propag. (EUCAP), 711 –715 (2017). Google Scholar

121. 

A. Fricke et al., “Reflection and transmission properties of plastic materials at THz frequencies,” in 38th Int. Conf. Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), 1 –2 (2013). Google Scholar

122. 

A. H. Espera et al., “3d-printing and advanced manufacturing for electronics,” Prog. Addit. Manuf., 4 245 –267 (2019). https://doi.org/10.1007/s40964-019-00077-7 Google Scholar

123. 

H. Yang, W. R. Leow and X. Chen, “3d printing of flexible electronic devices,” Small Methods, 2 (1), 1700259 (2018). https://doi.org/10.1002/smtd.201700259 Google Scholar

124. 

J. P. Guillet et al., “Review of terahertz tomography techniques,” J. Infrared, Millimeter, and Terahertz Waves, 35 382 –411 (2014). https://doi.org/10.1007/s10762-014-0057-0 Google Scholar

125. 

B. Ferguson et al., “T-ray computed tomography,” Opt. Lett., 27 1312 –1314 (2002). https://doi.org/10.1364/OL.27.001312 OPLEDP 0146-9592 Google Scholar

126. 

M. Jewariya et al., “Fast three-dimensional terahertz computed tomography using real-time line projection of intense terahertz pulse,” Opt. Express, 21 2423 –2433 (2013). https://doi.org/10.1364/OE.21.002423 OPEXFF 1094-4087 Google Scholar

127. 

C.-H. Ryu et al., “Nondestructive evaluation of hidden multi-delamination in a glass-fiber-reinforced plastic composite using terahertz spectroscopy,” Compos. Struct., 156 338 –347 (2016). https://doi.org/10.1016/j.compstruct.2015.09.055 COMSE2 0263-8223 Google Scholar

128. 

A. Duhant, M. Triki and O. Strauss, “Terahertz differential computed tomography: a relevant nondestructive inspection application,” J. Infrared, Millimeter Terahertz Waves, 40 178 –199 (2019). https://doi.org/10.1007/s10762-018-0564-5 Google Scholar

129. 

W. Kpobie et al., “Thermo-mechanical simulation of PCB with embedded components,” Microelectron. Reliab., 65 108 –130 (2016). https://doi.org/10.1016/j.microrel.2016.08.016 MCRLAS 0026-2714 Google Scholar

130. 

J. Zhao et al., “Terahertz imaging with sub-wavelength resolution by femtosecond laser filament in air,” Sci. Rep., 4 3880 –3880 (2014). https://doi.org/10.1038/srep03880 SRCEC3 2045-2322 Google Scholar

131. 

N. V. Chernomyrdin et al., “Wide-aperture aspherical lens for high-resolution terahertz imaging,” Rev. Sci. Instrum., 88 (1), 014703 (2017). https://doi.org/10.1063/1.4973764 RSINAK 0034-6748 Google Scholar

132. 

R. I. Stantchev et al., “Noninvasive, near-field terahertz imaging of hidden objects using a single-pixel detector,” Sci. Adv., 2 e1600190 (2016). https://doi.org/10.1126/sciadv.1600190 STAMCV 1468-6996 Google Scholar

133. 

L. Zanotto et al., “Single-pixel terahertz imaging: a review,” Opto-Electron. Adv., 3 (9), 200012 (2020). https://doi.org/10.29026/oea.2020.200012 Google Scholar

134. 

Z. Fu et al., “3d x-ray computed tomography ct for electronic packages,” in 29th, Int. Symp. Testing and Failure Anal.; ISTFA 2003, 56 –61 (2003). Google Scholar

135. 

C. Hartfield et al., “From PCB to BEOL: 3d x-ray microscopy for advanced semiconductor packaging,” in IEEE Int. Symp. Phys. and Failure Anal. Integr. Circuits (IPFA), 1 –7 (2018). Google Scholar

136. 

H. Song, “Packages for terahertz electronics,” Proc. IEEE, 105 (6), 1121 –1138 (2017). https://doi.org/10.1109/JPROC.2016.2633547 IEEPAD 0018-9219 Google Scholar

137. 

A. Lancaster and M. Keswani, “Integrated circuit packaging review with an emphasis on 3d packaging,” Integration, 60 204 –212 (2018). https://doi.org/10.1016/j.vlsi.2017.09.008 IVJODL 0167-9260 Google Scholar

138. 

F. Altmann and M. Petzold, “Innovative failure analysis techniques for 3-d packaging developments,” IEEE Design Test, 33 (3), 46 –55 (2016). https://doi.org/10.1109/MDAT.2016.2521828 Google Scholar

139. 

Y. Li, P. K. M. Srinath and D. Goyal, “A review of failure analysis methods for advanced 3d microelectronic packages,” J. Electron. Mater., 45 116 –124 (2016). https://doi.org/10.1007/s11664-015-4165-y JECMA5 0361-5235 Google Scholar

140. 

I. De Wolf, K. Croes and E. Beyne, “Expected failures in 3-d technology and related failure analysis challenges,” IEEE Trans. Comp. Packaging Manuf. Technol., 8 (5), 711 –718 (2018). https://doi.org/10.1109/TCPMT.2018.2810321 Google Scholar

141. 

M. Nagel, A. Michalski and H. Kurz, “Contact-free fault location and imaging with on-chip terahertz time-domain reflectometry,” Opt. Express, 19 12509 –12514 (2011). https://doi.org/10.1364/OE.19.012509 OPEXFF 1094-4087 Google Scholar

142. 

T. Okada et al., “Development of terahertz pulse time-domain reflectometry system for transmission line failure analysis,” in 40th Int. Conf. Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), 1 –2 (2015). Google Scholar

143. 

M. Hashimoto et al., “Failure analysis of LSI interconnection by terahertz time-domain reflectometry,” in IEEE 23rd Int. Symp. Phys. and Failure Anal. Integr. Circuits (IPFA), 238 –240 (2016). https://doi.org/10.1109/IPFA.2016.7564290 Google Scholar

144. 

M. Nagel et al., “Terahertz transceiver microprobe for chip-inspection applications using optoelectronic time-domain reflectometry,” in 38th Int. Conf. Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), 1 –2 (2013). Google Scholar

145. 

J. Alton and M. Igarashi, “Non-destructive fault localization in advanced IC packages using electro optical terahertz pulse reflectometry,” in Eur. Microelectron. Packaging Conf. (EMPC), 1 –4 (2013). Google Scholar

146. 

Y. Cai et al., “Electro optical terahertz pulse reflectometry – an innovative fault isolation tool,” in Proc. 60th Electron. Comp. and Technol. Conf. (ECTC), 1309 –1315 (2010). Google Scholar

147. 

E. Kato, Y. Shang and M. Hashimoto, “Development and application of terahertz optical sampling systems for the semiconductor industry,” in IEEE Int. Symp. Radio-Freq. Integr. Technol. (RFIT), 1 –3 (2020). https://doi.org/10.1109/RFIT49453.2020.9226197 Google Scholar

148. 

K. Rho et al., “Non-destructive fault localization in fan out wafer level packages using electro optical terahertz pulse reflectometry,” in IEEE Int. Symp. Phys. Failure Anal. Integr. Circuits (IPFA), 1 –4 (2018). https://doi.org/10.1109/IPFA.2018.8452600 Google Scholar

149. 

J. Gaudestad, V. Talanov and M. Marchetti, “Opens localization on silicon level in a chip scale package using space domain reflectometry,” Microelectron. Reliab., 53 (9), 1418 –1421 (2013). https://doi.org/10.1016/j.microrel.2013.08.009 MCRLAS 0026-2714 Google Scholar

150. 

S. B. N. Gourikutty et al., “Defect localization in through-Si-interposer based 2.5D ICS,” in IEEE 70th Electron. Comp. and Technol. Conf. (ECTC), 1180 –1185 (2020). https://doi.org/10.1109/ECTC32862.2020.00189 Google Scholar

151. 

K. C. Lee et al., “Fast feature based non-destructive fault isolation in 3d IC packages utilizing virtual known good device,” in IEEE 22nd Int. Symp. Phys. and Failure Anal. Integr. Circuits, 64 –67 (2015). Google Scholar

152. 

M. Xie et al., “Innovations in fault isolation methods for 3d packages with 10x improvement in accuracy,” in IEEE 66th Electron. Comp. and Technol. Conf. (ECTC), 755 –765 (2016). Google Scholar

153. 

S. Barbeau, “Electro optical terahertz pulse reflectometry – a fast and highly accurate non-destructive fault isolation technique for 3d flip chip packages,” Microelectron. Reliab., 54 (9–10), 2075 –2080 (2013). https://doi.org/10.1016/j.microrel.2014.07.036 Google Scholar

154. 

A. Reverdy et al., “Electro optical terahertz pulse reflectometry, a nondestructive technique to localize defects on various type of package,” Microelectron. Reliab., 54 (9), 2075 –2080 (2014). https://doi.org/10.1016/j.microrel.2014.07.036 MCRLAS 0026-2714 Google Scholar

155. 

E. Kowalczuk et al., “Fault localisation of defects using electro optical terahertz pulse reflectometry and 3d EM modelling with virtual known good device,” in Int. 3D Syst. Integr. Conf. (3DIC), 1 –4 (2014). Google Scholar

156. 

M. Y. Tay et al., “Advanced fault isolation technique using electro-optical terahertz pulse reflectometry,” in 19th IEEE Int. Symp. Phys. and Failure Anal. Integr. Circuits, 1 –5 (2012). https://doi.org/10.1109/IPFA.2012.6306302 Google Scholar

157. 

E. Perret et al., “THID, the next step of chipless RFID,” in IEEE Int. Conf. RFID (RFID), 261 –268 (2013). Google Scholar

158. 

K. Yang, D. Forte and M. M. Tehranipoor, “UCR: an unclonable chipless RFID tag,” in IEEE Int. Symp. Hardware Oriented Secur. and Trust (HOST), 7 –12 (2016). https://doi.org/10.1109/HST.2016.7495548 Google Scholar

159. 

Z. Ali et al., “Potential of chipless authentication based on randomness inherent in fabrication process for RF and THz,” in 11th Eur. Conf. Antennas and Propag. (EUCAP), 2559 –2563 (2017). Google Scholar

160. 

Z. Ali et al., “Detection of natural randomness by chipless RFID approach and its application to authentication,” IEEE Trans. Microwave Theory Tech., 67 3867 –3881 (2019). https://doi.org/10.1109/TMTT.2019.2914102 Google Scholar

161. 

K. Ahi, A. Rivera and M. Anwar, “Encrypted electron beam lithography nano-signatures for authentication,” Int. J. High Speed Electron. Syst., 26 (03), 1740017 (2017). https://doi.org/10.1142/S0129156417400171 IHSSEF 0129-1564 Google Scholar

162. 

K. Ahi, A. Rivera and M. Anwar, “Encrypted Electron Beam Lithography Nano-Signatures for Authentication,” Int. J. High Speed Electron. Syst., 137 –149 World Scientific, Singapore (2017). Google Scholar

163. 

H. J. Shin et al., “Invisible security printing on photoresist polymer readable by terahertz spectroscopy,” Sensors (Basel, Switzerland), 17 2825 (2017). https://doi.org/10.3390/s17122825 Google Scholar

164. 

C.-H. Cheng et al., “Self-erasable and rewritable optoexcitonic platform for antitamper hardware,” Adv. Opt. Mater., 8 (21), 2001287 (2020). https://doi.org/10.1002/adom.202001287 2195-1071 Google Scholar

165. 

B. J. Hamilton and A. M. Howard, “Counterfeit microelectronics detection based on capacitive and inductive signatures,” Patent No. US9959430B2 (2018).

166. 

M. Hamdi et al., “Identification in the terahertz domain using low cost tags with a fast spectrometer,” in 12th IEEE Int. Conf. Anti-Counterfeit. Secur. and Identification (ASID), 111 –115 (2018). https://doi.org/10.1109/ICASID.2018.8693209 Google Scholar

167. 

F. Bonnefoy et al., “Identification of random internal structuring THz tags using images correlation and SIWPD analysis,” in 44th Int. Conf. Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), 1 –1 (2019). Google Scholar

168. 

S. Salhi et al., “Enhanced THz tags authentication using multivariate statistical analysis,” in IRMMW-THz 2019 – 44th Int. Conf. Infrared, Millimeter, and Terahertz Waves, 1 –2 (2019). Google Scholar

169. 

Z. Ali et al., “Authentication using metallic inkjet-printed chipless RFID tags,” IEEE Trans. Antennas Propag., 68 (5), 4137 –4142 (2020). https://doi.org/10.1109/TAP.2019.2948740 IETPAK 0018-926X Google Scholar

170. 

R. Mitsuhashi et al., “Terahertz tag identifiable through shielding materials using machine learning,” Opt. Express, 28 (3), 3517 (2020). https://doi.org/10.1364/OE.384195 OPEXFF 1094-4087 Google Scholar

171. 

J. W. Leem et al., “Edible unclonable functions,” Nat. Commun., 11 328 (2020). https://doi.org/10.1038/s41467-019-14066-5 NCAOBW 2041-1723 Google Scholar

172. 

M. S. Shur and J. Suarez, “Nanoscale silicon MOSFET response to THz radiation for testing VLSI,” in 27th North Atlantic Test Workshop, NATW 2018, 1 –6 (2018). Google Scholar

173. 

M. Shur et al., “Sub-terahertz testing of millimeter wave monolithic and very large scale integrated circuits,” Solid-State Electron., 155 (March), 44 –48 (2019). https://doi.org/10.1016/j.sse.2019.03.007 Google Scholar

174. 

N. Akter et al., “Ai powered THz VLSI testing technology,” in IEEE 29th North Atlantic Test Workshop (NATW), 1 –5 (2020). Google Scholar

175. 

K. Ahi and M. Anwar, “Modeling of terahertz images based on x-ray images: a novel approach for verification of terahertz images and identification of objects with fine details beyond terahertz resolution,” Proc. SPIE, 9856 985610 (2016). https://doi.org/10.1117/12.2228685 PSISDG 0277-786X Google Scholar

176. 

Q. Li et al., “Continuous-wave terahertz scanning image resolution analysis and restoration,” Opt. Eng., 49 (3), 1 –5 (2010). https://doi.org/10.1117/1.3366650 Google Scholar

177. 

Y. Li et al., “Adaptive terahertz image super-resolution with adjustable convolutional neural network,” Opt. Express, 28 22200 –22217 (2020). https://doi.org/10.1364/OE.394943 OPEXFF 1094-4087 Google Scholar

178. 

S.-H. Ding et al., “High-resolution terahertz reflective imaging and image restoration,” Appl. Opt., 49 6834 –6839 (2010). https://doi.org/10.1364/AO.49.006834 APOPAI 0003-6935 Google Scholar

179. 

L. Valzania et al., “Terahertz ptychography,” Opt. Lett., 43 543 –546 (2018). https://doi.org/10.1364/OL.43.000543 OPLEDP 0146-9592 Google Scholar

180. 

L. Rong et al., “Continuous-wave terahertz reflective ptychography by oblique illumination,” Opt. Lett., 45 4412 –4415 (2020). https://doi.org/10.1364/OL.400506 OPLEDP 0146-9592 Google Scholar

181. 

L.-M. Xu, W.-H. Fan and J. Liu, “High-resolution reconstruction for terahertz imaging,” Appl. Opt., 53 7891 –7897 (2014). https://doi.org/10.1364/AO.53.007891 APOPAI 0003-6935 Google Scholar

182. 

T.-J. Li et al., “A novel THz differential spectral clustering recognition method based on t-SNE,” Discr. Dyn. Nat. Soc., 2020 6787608 (2020). DDNSFA 1026-0226 Google Scholar

183. 

W. Ning et al., “Resolution enhancement in terahertz imaging via deconvolution,” IEEE Access, 7 65116 –65121 (2019). https://doi.org/10.1109/ACCESS.2019.2917531 Google Scholar

184. 

M. Wan et al., “Terahertz confocal imaging: polarization and sectioning characteristics,” Opt. Lasers Eng., 134 106182 (2020). https://doi.org/10.1016/j.optlaseng.2020.106182 Google Scholar

185. 

K. Ahi, S. Shahbazmohamadi and N. Asadizanjani, “Quality control and authentication of packaged integrated circuits using enhanced-spatial-resolution terahertz time-domain spectroscopy and imaging,” Opt. Lasers Eng., 104 (July 2017), 274 –284 (2018). https://doi.org/10.1016/j.optlaseng.2017.07.007 Google Scholar

186. 

J. Dong, A. Locquet and D. S. Citrin, “Depth resolution enhancement of terahertz deconvolution by autoregressive spectral extrapolation,” Opt. Lett., 42 1828 –1831 (2017). https://doi.org/10.1364/OL.42.001828 OPLEDP 0146-9592 Google Scholar

187. 

B. Ferguson and D. Abbott, “Wavelet de-noising of optical terahertz pulse imaging data,” Fluct. Noise Lett., 01 (02), L65 –L69 (2001). https://doi.org/10.1142/S0219477501000226 0219-4775 Google Scholar

188. 

Z. Zhou et al., “Improvement of the signal to noise ratio of Lidar echo signal based on wavelet de-noising technique,” Opt. Lasers Eng., 51 (8), 961 –966 (2013). https://doi.org/10.1016/j.optlaseng.2013.02.011 Google Scholar

189. 

Y. Chen, S. Huang and E. Pickwell-MacPherson, “Frequency-wavelet domain deconvolution for terahertz reflection imaging and spectroscopy,” Opt. Express, 18 1177 –1190 (2010). https://doi.org/10.1364/OE.18.001177 OPEXFF 1094-4087 Google Scholar

190. 

J. Dong, A. Locquet and D. S. Citrin, “Enhanced terahertz imaging of small forced delamination in woven glass fibre-reinforced composites with wavelet de-noising,” J. Infrared, Millimeter Terahertz Waves, 37 289 –301 (2016). https://doi.org/10.1007/s10762-015-0226-9 Google Scholar

191. 

M. Zhai et al., “Terahertz time-of-flight tomography beyond the axial resolution limit: autoregressive spectral estimation based on the modified covariance method,” J. Infrared, Millimeter Terahertz Waves, 41 926 –939 (2020). https://doi.org/10.1007/s10762-020-00722-1 Google Scholar

192. 

J. Baili et al., “GPR signal de-noising by discrete wavelet transform,” NDT & E Int., 42 (8), 696 –703 (2009). https://doi.org/10.1016/j.ndteint.2009.06.003 Google Scholar

193. 

K. Ahi, “A method and system for enhancing the resolution of terahertz imaging,” Measurement, 138 614 –619 (2019). https://doi.org/10.1016/j.measurement.2018.06.044 0263-2241 Google Scholar

194. 

K. Mori et al., “Terahertz absorption spectra of several polymer nanocomposites indicating polymer-filler interactions,” AIP Adv., 9 (10), 105109 (2019). https://doi.org/10.1063/1.5117182 AAIDBI 2158-3226 Google Scholar

195. 

Q. Mao et al., “Image fusion based on multiscale transform and sparse representation to enhance terahertz images,” Opt. Express, 28 25293 –25307 (2020). https://doi.org/10.1364/OE.396604 OPEXFF 1094-4087 Google Scholar

196. 

A. Beyreuther et al., “Contactless device characterization of transistor structures in silicon using electro optical frequency mapping (EOFM),” Microelectron. Reliab., 106 113583 (2020). https://doi.org/10.1016/j.microrel.2020.113583 MCRLAS 0026-2714 Google Scholar

197. 

D. F. Sunday et al., “Determining the shape and periodicity of nanostructures using small-angle x-ray scattering,” J. Appl. Crystallogr., 48 1355 –1363 (2015). https://doi.org/10.1107/S1600576715013369 JACGAR 0021-8898 Google Scholar

198. 

D. L. Ho et al., “A laboratory scale critical-dimension small-angle x-ray scattering instrument,” AIP Conf. Proc., 931 (1), 382 –386 (2007). https://doi.org/10.1063/1.2799402 APCPCS 0094-243X Google Scholar

199. 

W. Lua et al., “TR-DLS analysis on analog circuit,” Microelectron. Reliab., 106 113584 (2020). https://doi.org/10.1016/j.microrel.2020.113584 MCRLAS 0026-2714 Google Scholar

200. 

D. N. Gupta, “Optical second-harmonic generation of terahertz field from n-type InSb semiconductors,” Plasmonics, 16 (2), 419 –424 (2020). https://doi.org/10.1007/s11468-020-01291-8 1557-1955 Google Scholar

201. 

J. Ikram et al., “Terahertz time of flight detection for absolute thickness measurements of single side polished silicon wafers,” (2010). Google Scholar

202. 

T. Arnold et al., “Inspection of mechanical and electrical properties of silicon wafers using terahertz tomography and spectroscopy,” Proc. SPIE, 9483 177 –182 (2015). https://doi.org/10.1117/12.2176999 PSISDG 0277-786X Google Scholar

203. 

S. Hunsche et al., “THz near-field imaging,” Opt. Commun., 150 (1), 22 –26 (1998). https://doi.org/10.1016/S0030-4018(98)00044-3 OPCOB8 0030-4018 Google Scholar

204. 

R. Hillenbrand, B. Knoll and F. Keilmann, “Pure optical contrast in scattering-type scanning near-field microscopy,” J. Microsc., 202 (1), 77 –83 (2001). https://doi.org/10.1046/j.1365-2818.2001.00794.x JMICAR 0022-2720 Google Scholar

205. 

A. J. L. Adam, “Review of near-field terahertz measurement methods and their applications,” J. Infrared, Millimeter Terahertz Waves, 32 976 (2011). https://doi.org/10.1007/s10762-011-9809-2 Google Scholar

206. 

G. R. Keiser and P. Klarskov, “Terahertz field confinement in nonlinear metamaterials and near-field imaging,” Photonics, 6 (1), 22 (2019). https://doi.org/10.3390/photonics6010022 Google Scholar

207. 

L. Wang et al., “A review of THz modulators with dynamic tunable metasurfaces,” Nanomaterials (Basel, Switzerland), 9 965 (2019). https://doi.org/10.3390/nano9070965 Google Scholar

208. 

L. Ju et al., “Graphene plasmonics for tunable terahertz metamaterials,” Nat. Nanotechnol., 6 630 –634 (2011). https://doi.org/10.1038/nnano.2011.146 NNAABX 1748-3387 Google Scholar

209. 

N. Pala and A. N. Abbas, Terahertz Technology for Nano Applications, 2653 –2667 Springer Netherlands, Dordrecht (2012). Google Scholar

210. 

J. Gu et al., “Active control of electromagnetically induced transparency analogue in terahertz metamaterials,” Nat. Commun., 3 1151 (2012). https://doi.org/10.1038/ncomms2153 NCAOBW 2041-1723 Google Scholar

211. 

L. Vicarelli et al., “Graphene field-effect transistors as room-temperature terahertz detectors,” Nat. Mater., 11 865 –871 (2012). https://doi.org/10.1038/nmat3417 NMAACR 1476-1122 Google Scholar

212. 

A. C. Ferrari et al., “Science and technology roadmap for graphene, related two-dimensional crystals, and hybrid systems,” Nanoscale, 7 4598 –4810 (2015). https://doi.org/10.1039/C4NR01600A NANOHL 2040-3364 Google Scholar

213. 

H. J. Joyce et al., “A review of the electrical properties of semiconductor nanowires: insights gained from terahertz conductivity spectroscopy,” Semicond. Sci. Technol., 31 (10), (2016). https://doi.org/10.1088/0268-1242/31/10/103003 SSTEET 0268-1242 Google Scholar

214. 

P. Kužel and H. Němec, “Terahertz spectroscopy of nanomaterials: a close look at charge-carrier transport,” Adv. Opt. Mater., 8 (3), 1900623 (2020). https://doi.org/10.1002/adom.201900623 2195-1071 Google Scholar

215. 

L. Xu, T. Wang and L. Cheng, “Terahertz microscopy and application in semiconductor testing,” Int. J. Terahertz Sci. Technol., 12 (2), 33 –47 (2019). https://doi.org/10.11906/TST.033-047.2019.06.04 Google Scholar

216. 

G. Tulsyan, “Doping profile measurements in silicon using terahertz time domain spectroscopy (THz-TDS) via electrochemical anodic oxidation,” (2015). Google Scholar

217. 

N. G. Orji et al., “Metrology for the next generation of semiconductor devices,” Nat. Electron., 1 (10), 532 –547 (2018). https://doi.org/10.1038/s41928-018-0150-9 NEREBX 0305-2257 Google Scholar

218. 

P. Klarskov, A. Pizzuto and D. M. Mittleman, “Imaging on the nanoscale with THz time-domain, emission and pump-probe microscopy,” in Int. Conf. Infrared, Millimeter, and Terahertz Waves, IRMMW-THz, 1 –3 (2018). Google Scholar

219. 

G. Gramse et al., “Nondestructive imaging of atomically thin nanostructures buried in silicon,” Sci. Adv., 3 (6), e1602586 (2017). https://doi.org/10.1126/sciadv.1602586 STAMCV 1468-6996 Google Scholar

220. 

H. T. Stinson et al., “Imaging the nanoscale phase separation in vanadium dioxide thin films at terahertz frequencies,” Nat. Commun., 9 3604 (2018). https://doi.org/10.1038/s41467-018-05998-5 NCAOBW 2041-1723 Google Scholar

221. 

M. S. Dunaevskiy et al., “Near field imaging of a semiconductor laser by scanning probe microscopy without a photodetector,” Appl. Phys. Lett., 103 (5), 053120 (2013). https://doi.org/10.1063/1.4817677 APPLAB 0003-6951 Google Scholar

222. 

K. Kawase, “Terahertz imaging for drug detection and large-scale integrated circuit inspection,” Opt. Photonics News, 15 34 –39 (2004). https://doi.org/10.1364/OPN.15.10.000034 OPPHEL 1047-6938 Google Scholar

223. 

S. Kim, H. Murakami and M. Tonouchi, “Transmission-type laser THz emission microscope using a solid immersion lens,” IEEE J. Sel. Top. Quantum Electron., 14 498 –504 (2008). https://doi.org/10.1109/JSTQE.2007.913425 IJSQEN 1077-260X Google Scholar

224. 

M. Yamashita et al., “Laser terahertz emission microscope for inspecting electrical failures in integrated circuits,” in Int. Meeting Future of Electron Devices, 29 –30 (2004). Google Scholar

225. 

M. Yamashita et al., “Noncontact inspection technique for electrical failures in semiconductor devices using a laser terahertz emission microscope,” Appl. Phys. Lett., 93 (4), 041117 (2008). https://doi.org/10.1063/1.2965810 APPLAB 0003-6951 Google Scholar

226. 

M. Yamashita et al., “Laser THz emission microscope as a novel tool for LSI failure analysis,” Microelectron. Reliab., 49 (9–11), 1116 –1126 (2009). https://doi.org/10.1016/j.microrel.2009.07.047 MCRLAS 0026-2714 Google Scholar

227. 

M. Yamashita et al., “Backside observation of large-scale integrated circuits with multilayered interconnections using laser terahertz emission microscope,” Appl. Phys. Lett., 94 (19), 191104 (2009). https://doi.org/10.1063/1.3133346 APPLAB 0003-6951 Google Scholar

228. 

H. Murakami et al., “Scanning laser THz imaging system,” J. Phys. D: Appl. Phys., 47 374007 (2014). https://doi.org/10.1088/0022-3727/47/37/374007 JPAPBE 0022-3727 Google Scholar

229. 

N. J. J. van Hoof et al., “Time-resolved terahertz time-domain near-field microscopy,” Opt. Express, 26 32118 –32129 (2018). https://doi.org/10.1364/OE.26.032118 OPEXFF 1094-4087 Google Scholar

230. 

A. Pizzuto, D. M. Mittleman and P. Klarskov, “Laser THz emission nanoscopy and THz nanoscopy,” Opt. Express, 28 18778 –18789 (2020). https://doi.org/10.1364/OE.382130 OPEXFF 1094-4087 Google Scholar

231. 

A. Huber et al., “Terahertz near-field nanoscopy of mobile carriers in single semiconductor nanodevices,” Nano Lett., 8 (11), 3766 –3770 (2008). https://doi.org/10.1021/nl802086x NALEFD 1530-6984 Google Scholar

232. 

Q. Chen et al., “Near-field terahertz imaging with a dynamic aperture,” Opt. Lett., 25 1122 –1124 (2000). https://doi.org/10.1364/OL.25.001122 OPLEDP 0146-9592 Google Scholar

233. 

F. Keilmann, A. J. Huber and R. Hillenbrand, “Nanoscale conductivity contrast by scattering-type near-field optical microscopy in the visible, infrared and THz domains,” J. Infrared, Millimeter Terahertz Waves, 30 1255 –1268 (2009). https://doi.org/10.1007/s10762-009-9525-3 Google Scholar

234. 

M. Xue et al., “Observation and ultrafast dynamics of inter-sub-band transition in InAs twinning superlattice nanowires,” Adv. Mater., 32 (40), 2004120 (2020). https://doi.org/10.1002/adma.202004120 ADVMEW 0935-9648 Google Scholar

235. 

L. Jung et al., “Quantification of carrier density gradients along axially doped silicon nanowires using infrared nanoscopy,” ACS Photonics, 6 (7), 1744 –1754 (2019). https://doi.org/10.1021/acsphotonics.9b00466 Google Scholar

236. 

J. Zhang et al., “Terahertz nanoimaging of graphene,” ACS Photonics, 5 (7), 2645 –2651 (2018). https://doi.org/10.1021/acsphotonics.8b00190 Google Scholar

237. 

W. Zhang and Y. Chen, “Visibility of subsurface nanostructures in scattering-type scanning near-field optical microscopy imaging,” Opt. Express, 28 6696 –6707 (2020). https://doi.org/10.1364/OE.386713 OPEXFF 1094-4087 Google Scholar

238. 

X. Chen et al., “THz near-field imaging of extreme subwavelength metal structures,” ACS Photonics, 7 (3), 687 –694 (2020). https://doi.org/10.1021/acsphotonics.9b01534 Google Scholar

239. 

A. Kenslea et al., “CD-TEM: characterizing impact of tem sample preparation on cd metrology,” in 29th Annu. SEMI Adv. Semicond. Manuf. Conf. (ASMC), 324 –327 (2018). Google Scholar

240. 

A. Ryabov et al., “Attosecond metrology in a continuous-beam transmission electron microscope,” Sci. Adv., 6 (46), eabb1393 (2020). https://doi.org/10.1126/sciadv.abb1393 STAMCV 1468-6996 Google Scholar

241. 

J. Mulkens et al., “Holistic approach for overlay and edge placement error to meet the 5 nm technology node requirements,” Proc. SPIE, 10585 375 –388 (2018). https://doi.org/10.1117/12.2297283 PSISDG 0277-786X Google Scholar

242. 

H. H. Radamson et al., “The challenges of advanced CMOS process from 2d to 3d,” Appl. Sci., 7 (10), 1047 (2017). https://doi.org/10.3390/app7101047 Google Scholar

243. 

G. Migliato Marega et al., “Logic-in-memory based on an atomically thin semiconductor,” Nature, 587 72 –77 (2020). https://doi.org/10.1038/s41586-020-2861-0 Google Scholar

244. 

J. Knechtel, “Hardware security for and beyond CMOS technology: an overview on fundamentals, applications, and challenges,” in Proc. 2020 Int. Symp. Phys. Design, ISPD ’20, 75 –86 (2020). Google Scholar

Biography

John True is currently a PhD student at the University of Florida in the ECE Department. He received his BS degree in materials science and engineering from the University of Florida in 2020. He holds a certificate for semiconductor materials and has experience as a process engineer at Axcelis Technologies. His current research is focused on x-ray micro-CT reconstruction methods for semiconductor materials and developing quality assurance methods for semiconductor devices.

Chengjie Xi is currently a PhD student at the University of Florida in the ECE Department. He received his BS degree in materials science from Nanjing Forestry University in 2018 and his MS degree in materials science from the University of Florida in 2020. His research is focused on developing counterfeit detection and prevention methods for integrated circuits designs and packaging.

Nathan Jessurun is currently a PhD student at the University of Florida in the ECE Department. He received his BS degree in computer engineering from Cedarville University in 2019. Currently, his research is focused on bimodal imaging applications, THz imaging for hardware characterization, and developing image processing techniques.

Kiarash Ahi received his MSc degree in electrical and information engineering from Leibniz University of Hannover, Germany, in 2012, and his PhD in electrical and computer engineering (ECE) from the University of Connecticut in 2017. The focus of his MSc degree was smart grid, renewable energy systems, and power electronics. His PhD studies were in the areas of semiconductor technology, optics, machine learning and natural computation, compressive sensing, and THz signal and image processing. Currently, he is a senior researcher and lead product development engineer in the advanced semiconductor and software industry, where he researches areas where artificial intelligence can enhance the accuracy and efficiency of semiconductor device manufacturing toward driving Moore’s law. He architects automated systems, empowered by machine learning and image processing, and leads multinational R&D teams. His scientific and research interests include digital image and signal processing, optics, and photolithography, MEMS and semiconductor devices, machine learning and artificial intelligence, hardware security, bioengineering, wearable technologies, embedded systems, human–computer interaction, THz technology, and intelligent software development.

Navid Asadizanjani received his PhD in mechanical engineering from the University of Connecticut in 2014. He is an assistant professor in the ECE Department at the University of Florida, Gainesville. His primary research interests include physical attacks and inspection of electronics. He has received several best paper awards from the International Symposium on Hardware Oriented Security and Trust and International Symposium on Flexible Automation. He has also received the D.E. Crow Innovation award from the University of Connecticut. He is the program chair of the PAINE conference and serves on the technical program committee of the International Symposium for Testing and Failure Analysis and IEEE Computing and Communication Workshop and Conference.

CC BY: © The Authors. Published by SPIE under a Creative Commons Attribution 4.0 Unported License. Distribution or reproduction of this work in whole or in part requires full attribution of the original publication, including its DOI.
John True, Chengjie Xi, Nathan Jessurun, Kiarash Ahi, and Navid Asadizanjani "Review of THz-based semiconductor assurance," Optical Engineering 60(6), 060901 (3 June 2021). https://doi.org/10.1117/1.OE.60.6.060901
Received: 14 December 2020; Accepted: 8 March 2021; Published: 3 June 2021
Lens.org Logo
CITATIONS
Cited by 25 scholarly publications.
Advertisement
Advertisement
KEYWORDS
Terahertz radiation

Semiconductors

Inspection

Nondestructive evaluation

Transistors

Spatial resolution

Near field

Back to Top