skip to main content
research-article

Machine Learning Vulnerability Analysis of FPGA-based Ring Oscillator PUFs and Counter Measures

Published:11 May 2021Publication History
Skip Abstract Section

Abstract

Physical Unclonable Functions (PUFs) exploit the manufacturing process variations inherent in silicon-based chips to generate unique secret keys. Although PUFs are supposed to be unclonable or unbreakable, researchers have found that they are vulnerable to machine learning (ML) attacks. In this article, we analyze the vulnerability of different FPGA-based Ring Oscillator PUFs (ROPUFs) to machine learning attacks. The challenge-response pairs (CRPs) data obtained from different ROPUFs is trained using different machine learning algorithms. From the study, it is found that the Artificial Neural Network (ANN) models can be used to train the ROPUFs with a training accuracy of 99.9% and a prediction accuracy of 62% when 5,000 CRPs are used for a \(\) challenge-response ROPUF. In this article, we assume a realistic situation where a small set of the CRP dataset (approximately 15% maximum) is unscrupulously obtained by the hacker. A prediction accuracy of 62% makes the PUF vulnerable to machine learning attacks. Therefore, a secondary goal of this article is the design of a ROPUF capable of thwarting machine learning modeling attacks. The modified XOR-inverter ROPUF drastically reduces the prediction accuracy from 62% to 13.1%, thus making it increasingly difficult for hackers to attack the ROPUF.

References

  1. Ujjwal Guin, Ke Huang, Daniel DiMase, John M. Carulli, Mohammad Tehranipoor, and Yiorgos Makris. 2014. Counterfeit integrated circuits: A rising threat in the global semiconductor supply chain. Proc, IEEE 102, 8 (2014), 1207–1228.Google ScholarGoogle ScholarCross RefCross Ref
  2. Peter Samarin and Kerstin Lemke-Rust. 2017. Detection of counterfeit ICs using public identification sequences. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (HOST’17). IEEE, 163–163.Google ScholarGoogle ScholarCross RefCross Ref
  3. Swarup Bhunia, Michael S. Hsiao, Mainak Banga, and Seetharam Narasimhan. 2014. Hardware Trojan attacks: Threat analysis and countermeasures. Proc. IEEE 102, 8 (2014), 1229–1247.Google ScholarGoogle ScholarCross RefCross Ref
  4. Mohmmad Tehranipoor, Hassan Salmani, and Xuehui Zhang. 2014. Integrated Circuit Authentication. Springer, Cham. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Farinaz Koushanfar. 2012. Hardware metering: A survey. In Introduction to Hardware Security and Trust. Springer, New York, NY, 103–122Google ScholarGoogle Scholar
  6. G. Edward Suh and Srinivas Devadas. 2007. Physical unclonable functions for device authentication and secret key generation. In Proceedings of the 44th ACM/IEEE Design Automation Conference. IEEE, 9–14 Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Muhtadi Choudhury, Nitin Pundir, Mohammed Niamat, and Muslim Mustapa. 2017. Analysis of a novel stage configurable ROPUF design. In Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS’17). IEEE, 942–945.Google ScholarGoogle ScholarCross RefCross Ref
  8. Muslim Mustapa, Mohammed Y. Niamat, Atul Prasad Deb Nath, and Mansoor Alam. 2016. Hardware-oriented authentication for advanced metering infrastructure. IEEE Trans. Smart Grid 9, 2 (2016), 1261–1270.Google ScholarGoogle ScholarCross RefCross Ref
  9. Abhranil Maiti and Patrick Schaumont. 2009. Improving the quality of a physical unclonable function using configurable ring oscillators. In Proceedings of the International Conference on Field Programmable Logic and Applications. IEEE, 703–707.Google ScholarGoogle ScholarCross RefCross Ref
  10. Fathi Amsaad, Tamzidul Hoque, and Mohammed Niamat. 2015. Analyzing the performance of a configurable ROPUF design controlled by programmable XOR gates. In Proceedings of the IEEE 58th International Midwest Symposium on Circuits and Systems (MWSCAS’15). IEEE, 1–4.Google ScholarGoogle ScholarCross RefCross Ref
  11. Muhtadi Choudhury, Nitin Pundir, Mohammed Niamat, and Muslim Mustapa. 2017. Analysis of a novel stage configurable ROPUF design. In Proceedings of the IEEE 60th International Midwest Symposium on Circuits and Systems (MWSCAS’17). IEEE, 942–945.Google ScholarGoogle ScholarCross RefCross Ref
  12. Ulrich Rührmair, Jan Sölter, Frank Sehnke, Xiaolin Xu, Ahmed Mahmoud, Vera Stoyanova, Gideon Dror, Jürgen Schmidhuber, Wayne Burleson, and Srinivas Devadas. 2013. PUF modeling attacks on simulated and silicon data. IEEE Trans. Info. Forens. Secur. 8, 11 (2013), 1876–1891. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Sharad Kumar and Mohammed Niamat. 2018. Machine learning-based modeling attacks on a configurable PUF. In Proceedings of the IEEE National Aerospace and Electronics Conference (NAECON’18). IEEE, 169–173.Google ScholarGoogle ScholarCross RefCross Ref
  14. Fatemeh Ganji, Shahin Tajik, and Jean-Pierre Seifert. 2015. Let me prove it to you: RO PUFs are provably learnable. In Proceedings of the Annual International Conference on Information Security and Cryptology (ICISC’15). Springer, Cham, 345–358.Google ScholarGoogle Scholar
  15. Noor Ahmad Hazari, Ahmed Oun, and Mohammed Niamat. 2019. Analysis and machine learning vulnerability assessment of XOR-inverter-based ring oscillator PUF design. In Proceedings of the IEEE 62nd International Midwest Symposium on Circuits and Systems (MWSCAS’19). IEEE, 590–593.Google ScholarGoogle ScholarCross RefCross Ref
  16. Dominik Merli, Dieter Schuster, Frederic Stumpf, and Georg Sigl. 2011. Side-channel analysis of PUFs and fuzzy extractors. In Proceedings of the International Conference on Trust and Trustworthy Computing. Springer, Berlin, 33–47. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Ganji Fatemeh, Shahin Tajik, and Jean-Pierre Seifert. 2016. PAC learning of arbiter PUFs. J. Cryptogr. Eng. 6, 3 (2016), 249–258.Google ScholarGoogle ScholarCross RefCross Ref
  18. Indrasish Saha, Ratan Rahul Jeldi, and Rajat Subhra Chakraborty. 2013. Model building attacks on physically unclonable functions using genetic programming. In Proceedings of the IEEE International Symposium on Hardware-Oriented Security and Trust (HOST’13). IEEE, 41–44.Google ScholarGoogle ScholarCross RefCross Ref
  19. Qingli Guo, Jing Ye, Yue Gong, Yu Hu, and Xiaowei Li. 2016. Efficient attack on non-linear current mirror PUF with genetic algorithm. In Proceedings of the IEEE 25th Asian Test Symposium (ATS’16). IEEE, 49–54.Google ScholarGoogle ScholarCross RefCross Ref
  20. Mahmoud Khalafalla and Catherine Gebotys. 2019. PUFs deep attacks: Enhanced modeling attacks using deep learning techniques to break the security of double arbiter PUFs. In Proceedings of the Design, Automation & Test in Europe Conference & Exhibition (DATE’19). IEEE, 204–209.Google ScholarGoogle ScholarCross RefCross Ref
  21. Jeroen Delvaux. 2019. Machine-learning attacks on PolyPUFs, OB-PUFs, RPUFs, LHS-PUFs, and PUF–FSMs. IEEE Trans. Info. Forensics Secur. 14, 8 (2019), 2043–2058. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Junye Shi, Yang Lu, and Jiliang Zhang. 2020. Approximation attacks on strong PUFs. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 39, 10 (2020), 2138--2151. DOI:10.1109/TCAD.2019.2962115Google ScholarGoogle ScholarCross RefCross Ref
  23. Sahoo Durga, Phuong Nguyen, Debdeep Mukhopadhyay, Rajat Chakraborty. 2015. A case of lightweight puf constructions: Cryptanalysis and machine learning attacks. IEEE Trans. Comput.-Aided Design Integr. Circ. Syst. 34, 1334–1343. 10.1109/TCAD.2015.2448677.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Hongxiang Gu and Miodrag Potkonjak. 2018. Securing interconnected PUF network with reconfigurability. In Proceedings of the IEEE International Symposium on Hardware Oriented Security and Trust (HOST’18). IEEE, 231–234.Google ScholarGoogle ScholarCross RefCross Ref
  25. Masoud Rostami, Mehrdad Majzoobi, Farinaz Koushanfar, Dan S. Wallach, and Srinivas Devadas. 2014. Robust and reverse-engineering resilient PUF authentication and key-exchange by substring matching. IEEE Trans. Emerg. Topics Comput. 2, 1 (2014), 37–49.Google ScholarGoogle ScholarCross RefCross Ref
  26. Yansong Gao, Gefei Li, Hua Ma, Said F. Al-Sarawi, Omid Kavehei, Derek Abbott, and Damith C. Ranasinghe. 2016. Obfuscated challenge-response: A secure lightweight authentication mechanism for PUF-based pervasive devices. In Proceedings of the IEEE International Conference on Pervasive Computing and Communication Workshops (PerCom Workshops’16). IEEE, 1–6.Google ScholarGoogle Scholar
  27. Jiliang Zhang, Xiao Tan, Yuanjing Zhang, Weizheng Wang, and Zheng Qin. 2018. Frequency offset-based ring oscillator physical unclonable function. IEEE Trans. Multi-Scale Comput. Syst. 4, 4 (2018), 711–721.Google ScholarGoogle ScholarCross RefCross Ref
  28. Nitin Pundir, Noor Ahmad Hazari, Fathi Amsaad, and Mohammed Niamat. 2017. A novel hybrid delay-based physical unclonable function immune to machine learning attacks. In Proceedings of the IEEE National Aerospace and Electronics Conference (NAECON’17). IEEE, 84–87.Google ScholarGoogle ScholarCross RefCross Ref
  29. Haile Yu, Qiang Xu, and Philip H. W. Leong. 2010. Fine-grained characterization of process variation in FPGAs. In Proceedings of the International Conference on Field-Programmable Technology. IEEE, 138–145.Google ScholarGoogle Scholar
  30. Yohei Hori, Takahiro Yoshida, Toshihiro Katashita, and Akashi Satoh. 2010. Quantitative and statistical performance evaluation of arbiter physical unclonable functions on FPGAs. In Proceedings of the International Conference on Reconfigurable Computing and Field-Programmable Gate Arrays. IEEE, 298–303. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Abhranil Maiti, Vikash Gunreddy, and Patrick Schaumont. 2013. A systematic method to evaluate and compare the performance of physical unclonable functions. In Embedded Systems Design with FPGAs. Springer, New York, NY, 245–267.Google ScholarGoogle Scholar
  32. Dankmar Böhning. 1992. Multinomial logistic regression algorithm. Ann. Inst. Stat. Math. 44, 1 (1992), 197–200.Google ScholarGoogle ScholarCross RefCross Ref
  33. Sotiris B. Kotsiantis. 2013. Decision trees: A recent overview. Artific. Intell. Rev. 39, 4 (2013), 261–283. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Qingyao Wu, Yunming Ye, Haijun Zhang, Michael K. Ng, and Shen-Shyang Ho. 2014. ForesTexter: An efficient random forest algorithm for imbalanced text categorization. Knowl.-based Syst. 67 (2014), 105–116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Thierry Denoeux. 2008. A k-nearest neighbor classification rule based on Dempster-Shafer theory. In Classic Works of the Dempster-Shafer Theory of Belief Functions. Springer, Berlin, 737–760.Google ScholarGoogle Scholar
  36. Corinna Cortes and Vladimir Vapnik. 1995. Support-vector networks. Mach. Learn. 20, 3 (1995), 273–297. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Tim Hill, Leorey Marquez, Marcus O’Connor, and William Remus. 1994. Artificial neural network models for forecasting and decision making. Int. J. Forecast. 10, 1 (1994), 5–15.Google ScholarGoogle ScholarCross RefCross Ref
  38. Diederik P. Kingma and Jimmy Ba. 2014. Adam: A method for stochastic optimization. Retrieved from https://arXiv:1412.6980.Google ScholarGoogle Scholar
  39. Sebastian Ruder. 2016. An overview of gradient descent optimization algorithms. Retrieved from https://arXiv:1609.04747.Google ScholarGoogle Scholar
  40. Martín Abadi, Ashish Agarwal, Paul Barham, Eugene Brevdo, Zhifeng Chen, Craig Citro, and Greg S. Corrado, et al. 2016. Tensorflow: Large-scale machine learning on heterogeneous distributed systems. Retrieved from https://arXiv:1603.04467.Google ScholarGoogle Scholar
  41. M. I. Takanori Machida, Dai Yamamoto, and K. Sakiyama. 2015. A new arbiter PUF for enhancing unpredictability on FPGA. Sci. World J. Article 864812 (2015), 13 pages. https://doi.org/10.1155/2015/864812.Google ScholarGoogle Scholar

Index Terms

  1. Machine Learning Vulnerability Analysis of FPGA-based Ring Oscillator PUFs and Counter Measures

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in

          Full Access

          • Published in

            cover image ACM Journal on Emerging Technologies in Computing Systems
            ACM Journal on Emerging Technologies in Computing Systems  Volume 17, Issue 3
            July 2021
            483 pages
            ISSN:1550-4832
            EISSN:1550-4840
            DOI:10.1145/3464978
            • Editor:
            • Ramesh Karri
            Issue’s Table of Contents

            Copyright © 2021 Association for Computing Machinery.

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 11 May 2021
            • Revised: 1 December 2020
            • Accepted: 1 December 2020
            • Received: 1 June 2020
            Published in jetc Volume 17, Issue 3

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article
            • Refereed

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          HTML Format

          View this article in HTML Format .

          View HTML Format