Skip to main content
Log in

Aging Prediction and Tolerance for the SRAM Memory Cell and Sense Amplifier

  • Published:
Journal of Electronic Testing Aims and scope Submit manuscript

Abstract

Serious reliability concerns of Static Random Access Memories (SRAMs) in nanometer technologies are the increased process variations as well as the various aging mechanisms. Bias Temperature Instability (BTI) and Hot Carrier Injection (HCI) phenomena are the main factors related to the aging reliability reduction. This degradation affects speed, operating voltages, memory cell noise margins and sense amplifier input offset voltage. Thus, it is imperative to develop design techniques for aging tolerance that will provide the ability to sense aging levels, predict upcoming failures in the memory and early react to retain the reliable operation. In this work, a circuit for the periodic aging monitoring in SRAM sense amplifiers and memory cells is presented.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26

Similar content being viewed by others

References

  1. Agbo I, Taouil M, Hamdioui S, Weckx P, Cosemans S, Raghavan P, Catthoor F, Dehaene W (2016) Quantification of sense amplifier offset voltage degradation due to zero- and run-time variability. Proc. IEEE Computer Society Annual Symposium on VLSI, pp 725–730

  2. Agbo I, Taouil M, Kraak D et al (2017) Integral mpact of BTI, PVT variation, and workload on SRAM sense amplifier. IEEE Transactions on Very Large Scale Integration Systems 25(04):1444–1454

    Article  Google Scholar 

  3. Ahmed F, Milor L (2016) Online measurement of degradation due to bias temperature instability in SRAMs. IEEE Transactions on Very Large Scale Integration Systems 24(06):2184–2194

    Article  Google Scholar 

  4. Alorda B, Carmona C, Torrens G, Bota S (2016) On-line write margin estimator to monitor performance degradation in SRAM Cores. Proc. IEEE International Symposium on On-Line Testing and Robust System Design, pp 90–95

  5. Cacho F, Singh SK, Singh B, Partasarathy C, Pion E, Argoud F, Federspiel X, Pitolet H, Roy D, Huard V (2011) Hot carrier injection degradation induced dispersion: model and circuit-level measurement. Proc. IEEE International Integration Reliability Workshop, pp 137–141

  6. Cao Y, Velamala J, Sutaria K et al (2014) Cross-layer modeling and simulation of circuit reliability. IEEE Trans Comput Aided Des Integr Circuits Syst 33(1):8–23

    Article  Google Scholar 

  7. Ceratti A, Copetti T, Bolzani L et al (2014) An on-chip sensor to monitor NBTI effects in SRAMs. Journal of Electronic Testing: Theory & Applications 30(2):159–169

    Article  Google Scholar 

  8. Dounavi HM, Sfikas Y, Tsiatouhas Y (2018) Aging monitoring in SRAM sense amplifiers. Proc. International Conference on Modern Circuits and Systems Technologies, pp 1–4

  9. Dounavi HM, Sfikas Y, Tsiatouhas Y (2018) Periodic Aging Monitoring in SRAM Sense Amplifiers. Proc. IEEE International Symposium on On-Line Testing and Robust System Design, pp 12–16

  10. Dounavi HM, Sfikas Y, Tsiatouhas Y (2019) Periodic monitoring of BTI induced aging in SRAM sense amplifiers. IEEE Trans Device Mater Reliab 19(1):64–72

    Article  Google Scholar 

  11. Gebregiorgis A, Ebrahimi M, Kiamehr S, Oboril F, Hamdioui S, Tahoori MB (2015) Aging mitigation in memory arrays using self-controlled bit-flipping technique. Proc. The 20th Asia and South Pacific Design Automation Conference, pp 231–236

  12. Haggag A, Anderson G, Parihar S, Burnett D, Abeln G, Higman J, Moosa M (2007) Understanding SRAM high-temperature-operating-life NBTI: statistics and permanent vs recoverable damage. Proc. IEEE Annual International Reliability Physics Symposium, pp 452–456

  13. Huard V, Partasarathy C, Guerin C, Valentin T, Pion E, Mammasse M, Planes N, Camus L (2008) NBTI degradation: From Transistor to SRAM arrays. Proc. IEEE 46th Annual International Reliability Physics Symposium (PRS), pp 289–300

  14. Kang K, Alam MA, Roy K (2007) Characterization of NBTI induced temporal performance degradation in nano-scale SRAM array using IDDQ. Proc. IEEE International Test Conference, pp 1–10

  15. Khan S, Agbo I, Hamdioui S, Kukner H, Kaczer B, Raghavan P, Catthoor F (2014) Bias temperature instability analysis of FinFET based SRAM cells. Proc. Design and Test in Europe Conference, pp 1–6

  16. Kinseher J, Heib L, Polian I (2017) Analyzing the effects of peripheral circuit aging of embedded SRAM architectures. Proc. Design Automation and Test in Europe Conference, pp 852–867

  17. Kraak D, Taouil M, Agbo I et al (2017) Impact and mitigation of sense amplifier aging degradation using realistic workloads. IEEE Transactions on Very Large Scale Integration Systems 25(12):3464–3472

    Article  Google Scholar 

  18. Kraak D, Agbo I, Taouil M, Weckx P, Cosemans S, Catthoor F, Dehaene W, Hamdioui S (2017) Mitigation of sense amplifier degradation using input switching. Proc. Design Automation and Test in Europe Conference, pp 858–863

  19. Kumar SV, Kim CH, Sapatnekar SS (2006) Impact of NBTI on SRAM read stability and design for reliability. Proc. 7th International Symposium on Quality Electronic Design, pp 213–218

  20. Liu T, Chen CC, Wu J, Milor L (2016) SRAM stability analysis for different cache configurations due to bias temperature instability and hot carrier injection. Proc. IEEE International Conference on Computer Design, pp 225–232

  21. Oklobdzija VG (2007) The computer engineering handbook: digital design and fabrication. CRC Press, 2nd Edition, pp 7–74

  22. Pae S, Maiz J, Prasad C, Woolery B (2008) Effect of BTI degradation on transistor variability in advanced semiconductor technologies. IEEE Trans Device Mater Reliab 8(3):519–525

    Article  Google Scholar 

  23. Qin J, Li X, Bernstein JB (2007) SRAM Stability analysis considering gate oxide SBD, NBTI and HCI. Proc. International Integrated Reliability Workshop, pp 33–37

  24. Rahma M, Chen Y, Sy W, Ong WL, Ting LY, Yoon SS, Han M, Terzioglou E (2011) Characterization of SRAM sense amplifier input offset for yield prediction in 28nm CMOS. Proc. IEEE International Custom Integrated Circuits Conference, pp 1–4

  25. Seevinck E, List FJ, Lohstroh J (1987) Static-noise margin analysis of MOS SRAM cells. IEEE J Solid-State Circuits 22(5):748–754

    Article  Google Scholar 

  26. Sfikas Y, Tsiatouhas Y (2017) Variation tolerant BTI monitoring in SRAM cells. Proc. IEEE International Symposium on On-Line Testing and Robust System Design, pp 100–105

  27. Sfikas Y, Tsiatouhas Y (2017) BTI and HCI degradation detection in SRAM cells. Proc. International Conference on Modern Circuits and Systems Technologies, pp 1–4

  28. Toh SO, Guo Z, Liu TJK, Nikolic B (2011) Characterization of dynamic SRAM stability in 45 nm CMOS. IEEE J Solid-State Circuits 46(11):2702–2712

    Article  Google Scholar 

  29. Tsai MC, Lin YW, Yang HI, Tu MH, Shih WC, Lien NC, Lee KD, Jou SJ, Chuang CT, Hwang W (2012) Embedded SRAM Ring Oscillator for In-Situ Measurement of NBTI and PBTI Degradation in CMOS 6T SRAM Array. Proc. International Symposium on VLSI Design, Automation and Test, pp 1–4

    Google Scholar 

  30. Tsiatouhas Y (2017) Periodic bias-temperature instability monitoring in SRAM cells. Proc. IEEE European Test Symposium, pp 1–2

  31. Wang X, Keane J, Kim TTH et al (2014) Silicon odometers: compact in situ aging sensors for robust system design. IEEE Micro 34(6):74–85

Download references

Acknowledgments

This research has been co-funded by the General Secretariat for Research and Technology (GSRT) and the Hellenic Foundation for Research and Innovation (HFRI).

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Helen-Maria Dounavi.

Additional information

Responsible Editor: V. Champac

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Dounavi, HM., Sfikas, Y. & Tsiatouhas, Y. Aging Prediction and Tolerance for the SRAM Memory Cell and Sense Amplifier. J Electron Test 37, 65–82 (2021). https://doi.org/10.1007/s10836-021-05932-6

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10836-021-05932-6

Keyword

Navigation