Skip to main content
Log in

No-instruction-set-computer design experience of flexible and efficient architectures for digital communication applications: two case studies on MIMO turbo detection and universal turbo demapping

  • Published:
Design Automation for Embedded Systems Aims and scope Submit manuscript

Abstract

The emerging flexibility need in designing application-specific processors dedicated for modules of digital receiver imposes a new design metric, which is added to the requirements of efficiency and productivity. In order to cope with the emerging flexibility requirement combined with the best performance efficiency, many application-specific processor design approaches have been proposed and investigated. In general, available design approaches that adopt dynamic scheduling of instructions add an overhead due to the instruction decoding. To minimize this overhead, several approaches have been introduced, which opt static scheduling. In this context, No-Instruction-Set-Computer (NISC) concept has been introduced to design application-specific processors without an instruction set. NISC concept proposes that there is no need to first design and then use an instruction set when the hardware is programmed by its designers rather than its users. NISC designing approach offers a good compromise between flexibility, productivity, and quality for the design of a digital system. In our work, NISC approach is explored through the design of flexible and efficient architectures dedicated for digital communication applications which fulfill the requirements imposed by multiple emergent communication standards. This paper introduces briefly the NISC concept and the corresponding design methodology. Also, it provides an overview of the related design approach. In addition, the relevance of NISC in realizing flexible and efficient implementation in the domain of digital communication is demonstrated through two case studies on MIMO turbo detection and universal turbo demapping. Both designed NISC-based architectures have been compared to state-of-the-art ASIP-based architectures using similar computational resources and supporting same flexibility parameters. The obtained results show that the proposed NISC-based architectures provide a significant improvement in execution performance while having reduced implementation costs. The results also illustrates how the control memory requirements depend on the application and the devised architecture choices. In the detector module, the adopted re-usability of allocated resources imposes separate controlling of each component; hence, additional control signals are implied. Whereas for the demapper module, implemented hardware components are considered to perform specific operations and to deal with the same type of data; hence, the number of control signals can be reduced significantly.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21
Fig. 22
Fig. 23
Fig. 24
Fig. 25
Fig. 26
Fig. 27
Fig. 28
Fig. 29
Fig. 30
Fig. 31
Fig. 32
Fig. 33

Similar content being viewed by others

References

  1. Rizk M, Baghdadi A, Jézéquel M, Al Ghouwayel AC (2018) NISC design experience of flexible architectures for digital communication applications. In: Proceedings of the IEEE international conference on computer and applications (ICCA), Beirut, Lebanon, pp 123–129

  2. Gajski D (2003) NISC: the ultimate reconfigurable component. Center for Embedded Computer Systems (CECS), University of California, Irvine, Technical Report, Oct. 2003

  3. Gorjiara B, Reshadi M, Gajski D (2007) Low-power design with NISC technology. Springer, Dordrecht, pp 25–50

    Google Scholar 

  4. Gorjiara B, Gajski D (2007) FPGA-friendly code compression for horizontal microcoded custom IPs. In: Proceedings of the ACM/SIGDA international symposium on field programmable gate arrays, ser. FPGA ’07. New York, NY, USA: Association for Computing Machinery, pp 108–115

  5. Gorjiara B, Reshadi M, Gajski D (2008) Merged dictionary code compression for FPGA implementation of custom microcoded PEs. ACM Trans Reconfigurable Technol Syst (TRETS) 1(11):285–297

    Google Scholar 

  6. Gorjiara B, Reshadi M, Gajski D (2006) Generic architecture description for retargetable compilation and synthesis of application-specific pipelined IPs. In: Proceedings of IEEE international conference on computer design (ICCD), Oct. 2006, pp 356–361

  7. Mitola J (2000) Software radio architecture: object-oriented approaches to wireless systems engineering. Wiley, New York

    Book  Google Scholar 

  8. Anjum O et al (2011) State of the art baseband DSP platforms for software defined radio: a survey. EURASIP J Wirele Commun Netw 2011:5

  9. Gajski D, Dutt N, Wu A, Lin S (1994) High-level synthesis introduction to chip and system design. Kluwer Academic Publishers, Amsterdam

    Google Scholar 

  10. Fingeroff M (2010) High-level synthesis blue book. Xlibris Corporation, Bloomington

    Google Scholar 

  11. Vahid F, Givargis T (2006) Embedded system design: a unified hardware/software introduction. Wiley India Pvt. Limited, New Delhi

    Google Scholar 

  12. Mishra P, Dutt N (2005) Architecture description languages for programmable embedded systems. IEE Proc Comput Digit Tech 152(3):285–297

    Article  Google Scholar 

  13. Hoffmann A, Schliebusch O, Nohl A, Braun G, Wahlen O, Meyr H (2001) A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA. In: Proceedings of IEEE/ACM international conference on computer aided design (ICCAD), Nov. 2001, pp 625–630

  14. Halambi A, Grun P, Ganesh V, Khare A, Dutt N, Nicolau A (1999) EXPRESSION: a language for architecture exploration through compiler/simulator retargetability. In: Proceedings of IEEE design, automation and test in Europe conference and exhibition (DATE), Mar. 1999, pp 485–490

  15. Marwedel P (1984) The MIMOLA design system: tools for the design of digital processors. In: Proceedings of design automation conference (DAC), Jun. 1984, pp 587–593

  16. Chattopadhyay A, Kammler D, Witte E, Schliebusch O, Ishebabi H, Geukes B, Leupers R, Ascheid G, Meyr H (2006) Automatic low power optimizations during ADL-driven ASIP design. In: Proceedings of IEEE international symposium on VLSI design. Automation and test, pp 1–4

  17. Rizk M, Baghdadi A, Jézéquel M, Mohanna Y, Atat Y (2014) Design and prototyping flow of NISC-based flexible MIMO turbo-equalizer. In: Proceedings of the IEEE international symposium on rapid system prototyping (RSP). New Delhi, India, Oct. 2014, pp 16–21

  18. Rizk M, Baghdadi A, Jézéquel M, Mohanna Y, Atat Y (2016) Design and prototyping flow of flexible and efficient NISC-based architectures for MIMO turbo equalization and demapping. Electronics 5(3):50

    Article  Google Scholar 

  19. Gorjiara B, Gajski D, Reshadi M (2008) GNR: a formal language for specification, compilation, and synthesis of custom embedded processors. In: Mishra P, Dutt N (eds) Processor description languages: applications and methodologies. Morgan Kaufmann Publishers/Elsevier, Amsterdam

    Google Scholar 

  20. Reshadi M, Gajski D (2007) Interrupt and low-level programming support for expanding the application domain of statically-scheduled horizontal-microcoded architectures in embedded systems. In: Proceedings of IEEE design, automation and test in Europe conference and exhibition (DATE), Apr. 2007, pp 1–6

  21. Zehavi E (1992) 8-PSK trellis codes for a Rayleigh channel. IEEE Trans Commun 40(5):873–884

    Article  Google Scholar 

  22. Caire G, Taricco G, Biglieri E (1998) Bit-interleaved coded modulation. IEEE Trans Inf Theory 44(3):927–946

    Article  MathSciNet  Google Scholar 

  23. Osseiran A et al (2014) Scenarios for 5G mobile and wireless communications: the vision of the METIS project. IEEE Commun Mag 52(5):26–35

    Article  Google Scholar 

  24. Rizk M, Baghdadi A, Jézéquel M, Mohanna Y, Atat Y (2017) Efficient quantization and fixed-point representation for mimo turbo-detection and turbo-demapping. EURASIP J Embed Syst 2017(1):33

    Article  Google Scholar 

  25. Rizk M, Baghdadi A, Jézéquel M, Mohanna Y, Atat Y (2013) Quantization and fixed-point arithmetic for MIMO MMSE-IC linear turbo-equalization. In: Proceedings of the IEEE international conference on microelectronics (ICM), Beirut, Lebanon, Dec. 2013, pp 1–4

  26. Rizk M, Baghdadi A, Jézéquel M, Mohanna Y, Atat Y (2013) Flexible and efficient architecture design for MIMO MMSE-IC linear turbo-equalization. In: Proceedings of the IEEE international conference on communications and information technology (ICCIT), Beirut, Lebanon, June 2013, pp 340–344

  27. Bahl L, Cocke J, Jelinek F, Raviv J (1974) Optimal decoding of linear codes for minimizing symbol error rate(corresp.). IEEE Trans Inf Theory 20(2):284–287

    Article  Google Scholar 

  28. Robertson P, Hoeher P, Villebrun E (1997) Optimal and sub-optimal maximum a posteriori algorithms suitable for turbo decoding. Eur Trans Telecommun (ETT) 8(2):119–125

    Article  Google Scholar 

  29. Berrou C (2010) Codes and turbo codes. Springer, Paris

    Book  Google Scholar 

  30. Laot C, Le Bidan R, Leroux D (2005) Low-complexity MMSE turbo equalization: a possible solution for EDGE. IEEE Trans Wirel Commun 4(3):965–974

    Article  Google Scholar 

  31. Jafri AR, Karakolah D, Baghdadi A, Jézéquel M (2009) ASIP-based flexible MMSE-IC Linear Equalizer for MIMO turbo-equalization applications. In: Proceedings of IEEE design, automation test in Europe conference exhibition (DATE), Apr. 2009, pp 1620–1625

  32. Rizk M, Baghdadi A, Jézéquel M, Mohanna Y, Atat Y (2015) Nisc-based soft-input soft-output demapper. IEEE Trans Circuits Syst II 62(11):1098–1102

    Article  Google Scholar 

  33. Abdel Nour C (2008) Spectrally efficient coded transmission for wireless and satellite applications. Ph.D. dissertation, Elec. Dept., Telecom Bretagne, Brest, France

  34. Akay E, Ayanoglu E (2004) Low complexity decoding of bit-interleaved coded modulation for M-ary QAM. In: Proceedings of the IEEE international conference on communications (ICC), vol 2, Paris, France, Jun. 2004, pp 901–905

  35. Meng L, Abdel Nour C, Jégo C, Douillard C (2009) Design of rotated QAM mapper/demapper for the DVB-T2 standard. SiPS 2009: IEEE workshop on signal processing systems, Oct. 2009

  36. Jafri AR, Baghdadi A, Jézéquel M (2009) ASIP-based universal demapper for multiwireless standards. IEEE Embed Syst Lett 1(1):9–13

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Mostafa Rizk.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

This paper is an extended version of our paper published in IEEE International Conference on Computer and Applications (ICCA), Oct. 2018, as “NISC design experience of flexible architectures for digital communication applications” [1].

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Rizk, M., Baghdadi, A., Jezequel, M. et al. No-instruction-set-computer design experience of flexible and efficient architectures for digital communication applications: two case studies on MIMO turbo detection and universal turbo demapping. Des Autom Embed Syst 25, 1–42 (2021). https://doi.org/10.1007/s10617-021-09245-x

Download citation

  • Received:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10617-021-09245-x

Keywords

Navigation