Skip to main content

Advertisement

Log in

Low power and write-enhancement RHBD 12T SRAM cell for aerospace applications

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

In aerospace applications, the conventional Static Random Access Memories (SRAMs) are facing high soft error problems like a single event upset. Several radiation-hardened based design (RHBD) like twelve-transistor (12T) Dice, 12T We-Quatro SRAM cells, etc., had been developed to address the soft error problems. But they all are consuming comparatively more total and static power with more delay and area. The 10T SRAM cell had been developed to reduce the power dissipation and area overhead. But the analysis of 10T cell shows a write failure at high-frequency. An RHBD 12T SRAM cell has been proposed in this paper. The proposed 12T SRAM cell consumes less total, and static power dissipation compared to 12T We-Quatro and 12T Dice cell, respectively. The critical charge and hold noise margin of the proposed SRAM cell have been improved compared to We-Quatro and Dice cell. The simulated result shows that the proposed SRAM cell has provided the less and comparable area, high write speed, and good writability under process variations. Finally, the Monte Carlo Simulation of SRAM cells under 45 nm CMOS technology validates the efficiency of the 12T proposed cell.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19

Similar content being viewed by others

References

  1. Fragasse, R., Tantawy, R., Dupaix, B., Dean, T., Disabato, D., Belz, M. R., et al. (2019). Analysis of SRAM enhancements through sense amplifier capacitive offset correction and replica self-timing. IEEE Transaction on Circuits and Systems I: Regular Papers,66(6), 2037–2050.

    Article  Google Scholar 

  2. Jadav, S., & Chandel, R. (2019). High performance 9T adiabatic SRAM and novel stability characterization using pole zero placement. Analog Integrated Circuit and Signal Processing,98(02), 347–355.

    Article  Google Scholar 

  3. Yadav, N., & Jadav, S. (2014). Efficient energy recovery in 9T adiabatic SRAM cell using body bias. International Journal of VLSI and Embedded Systems, 05, 778–784.

    Google Scholar 

  4. Oh, T. W., Jeong, H., Kang, K., Park, J., Yang, Y., & Jung, S. (2017). Power-gated 9T SRAM cell for low-energy operation. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(3), 1183–1187.

    Article  Google Scholar 

  5. Sachdeva, A., & Tomar, V. K. (2020). A Schmitt-trigger based low read power 12T SRAM cell. Analog Integrated Circuits and Signal Processing, 105, 275–295. https://doi.org/10.1007/s10470-020-01718-6.

    Article  Google Scholar 

  6. Mishra, J. K., Srivastava, H., Misra, P. K., & Goswami, M. (2019). Analytical modelling and design of 9T SRAM cell with leakage control technique. Analog Integrated Circuits and Signal Processing, 101(1), 31–43.

    Article  Google Scholar 

  7. Jiang, J., Xu, Y., Zhu, W., Xiao, J., & Zou, S. (2018). Quadruple cross-coupled latch-based 10T and 12T SRAM bit-cell designs for highly reliable terrestrial applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(3), 967–977.

    Article  Google Scholar 

  8. Trang Dang, L. D., Kang, M., Kim, J., & Chang, I. (2017). Studying the variation effects of radiation hardened Quatro SRAM bit cell. IEEE Transactions on Nuclear Science, 63(4), 2399–2401.

    Article  Google Scholar 

  9. Peng, C., Huang, J., Liu, C., Zhao, Q., Xiao, S., Wu, X., et al. (2019). Radiation-hardened 14T SRAM bit cell with speed and power optimized for space application. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27(2), 407–415.

    Article  Google Scholar 

  10. Zheng, Q., Cui, J., Yu, X., Lu, W., He, C., Ma, T., et al. (2017). Read static noise margin decrease of 65-nm 6-T SRAM cell induced by total ionizing dose. IEEE Transactions on Nuclear Science, 65(2), 691–697.

    Article  Google Scholar 

  11. Black, J. D., Dodd, P. E., & Warren, K. M. (2013). Physics of multiple-node charge collection and impacts on single-event characterization and soft error rate prediction. IEEE Transactions on Nuclear Science, 60(3), 1836–1851.

    Article  Google Scholar 

  12. Prasad, G., Kumari, N., Mandi, B. C., & Ali, M. (2020). Design and statistical analysis of low power and high speed 10T SRAM cell. International Journal of Circuit Theory and Applications, 48(8), 1–10. https://doi.org/10.1002/cta.2802.

    Article  Google Scholar 

  13. Parsad, G., Mandi, B. C., & Ali, M.(2019). Design and analysis of 10T-boosted radiation hardened SRAM cell for aerospace applications. In Proceedings of the IEEE international symposium on smart electronic systems (IEEE-iSES 2019), Rourkela, India (pp. 304–307).

  14. Alouani, I., Elsharkasy, W. M., Eltawil, A. M., Kurdahi, F. J., & Niar, S. (2017). AS8-static random access memory (SRAM): Asymmetric SRAM architecture for soft error hardening enhancement. IET Circuit, Devices, & Systems, 11(1), 89–94.

    Article  Google Scholar 

  15. Giterman, R., Atias, L., & Teman, A. (2017). Area and energy efficient complementary dual-modular redundancy dynamic memory for space applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(2), 502–509.

    Article  Google Scholar 

  16. Prasad, G., Mandi, B. C., & Ali, M. (2020). Power optimized SRAM cell with high radiation hardened for aerospace applications. Microelectronics Journal, 103, 104843.

    Article  Google Scholar 

  17. Bishnoi, R., Oboril, F., & Tahoori, M. B. (2017). Design of defect and fault tolerant nonvolatile spin tronic filp-flops. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(4), 1421–1432.

    Article  Google Scholar 

  18. Calin, T., Nicolaidis, M., & Velazco, R. (1996). Upset hardened memory design for sub micron CMOS technology. IEEE Transactions on Nuclear Science, 43(6), 2874–2878.

    Article  Google Scholar 

  19. Dang, L. D. T., Kim, J. S., & Chang, I. J. (2017). We-Quatro: Radiation-hardened SRAM cell with parametric process variation tolerance. IEEE Transactions on Nuclear Science, 64(9), 2489–2496.

    Article  Google Scholar 

  20. Shah, M. J., Sharifkhani, M., David, J., & Sachdev, M. (2009). A soft error tolerant 10T SRAM bit-cell with differential read capability. IEEE Transactions on Nuclear Science, 56(6), 3768–3773.

    Article  Google Scholar 

  21. Guo, J., et al. (2018). Design of area-efficient and highly reliable RHBD 10T memory cell for aerospace applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26(5), 991–994.

    Article  Google Scholar 

  22. Jadav, S., & Vashisath, M. (2012). Design and performance analysis of ultra-low power 6T SRAM using adiabatic technique. International Journal of VLSI Design and Communication Systems (VLSICS), 3(3), 95–105.

  23. Mukhopahyay, S., Mahmoodiand, H., & Roy, K. (2005). Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS. IEEE Transactions on Computer-Aided Design Integrated Circuit and Systems, 24(12), 349–358.

    Google Scholar 

  24. Shah, M. J., Sharifkhani, M., & Sachdev, M. (2009). An analytical model for soft error critical charge of nanometric SRAMs. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 17(9), 1187–1195.

    Article  Google Scholar 

  25. Heijmen, T., Giot, D., & Roche, P. (2006). Factors that impact the critical charge of memory elements. In Proceedings of the IEEE 12th international on line testing symposium (IOLTS’06), Lake Como, Italy (pp. 57–62).

  26. Peng, C., Chen, Z., Zhang, J., Xiao, S., Liu, C., Wu, X., et al. (2017). A radiation harden enhanced Quatro (RHEQ) SRAM cell. IEICE Electronics Express, 14(18), 1–12.

    Google Scholar 

  27. Gupta, S., Gupta, K., Calhoun, B. H., & Pandey, N. (2018). Low-power near-threshold 10T SRAM bit cells with enhanced data-independent read port leakage for array augmentation in 32-nm CMOS. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(3), 978–988.

    Article  Google Scholar 

  28. Prasad, G., & Anand, A. (2015). Statistical analysis of low power SRAM cell structure. Analog Integrated Circuit and Signal Processing, 82(01), 349–358.

    Article  Google Scholar 

  29. Grossar, E., Stuchhi, M., Maex, K., & Dehaene, W. (1996). Read stability and write ability analysis of SRAM cells for nanometer technologies. IEEE Journal of Solid-State Circuits, 41(11), 2577–2587.

    Article  Google Scholar 

  30. Anitha, D., Manjunathachari, K., Kumar, P. S., & Prasad, G. (2017). Design of low leakage process tolerant SRAM cell. Analog Integrated Circuits and Signal Processing, 93(3), 531–538.

    Article  Google Scholar 

  31. Yadav, N., Shah, A. P., & Vishvakarma, S. K. (2017). Stable, reliable, and bit-interleaving 12T SRAM for space applications: A device circuit co-design. IEEE Transactions on Semiconductor Manufacturing, 30(3), 276–284.

    Article  Google Scholar 

  32. Zheng, N., & Mazumder, P. (2018). Modeling and mitigation of static noise margin variation in subthreshold SRAM cells. IEEE Transactions on Circuits and Systems-I, 64(10), 2726–2736.

    Article  Google Scholar 

  33. Giterman, R., Keren, O., & Fish, A. (2019). A 7T security oriented SRAM bitcell. IEEE Transactions on Circuits and Systems II: Express Briefs, 66(8), 1396–1400.

    Article  Google Scholar 

  34. Gupta, S., Gupta, K., & Pandey, N. (2017). A 32-nm subthreshold 7T SRAM bit cell with read assist. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(12), 3473–3483.

    Article  Google Scholar 

  35. Karimi, M., Rohbani, N., & Miremadi, S. G. (2017). A low area overhead NBTI/PBTI sensor for SRAM memories. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(11), 3138–3151.

    Article  Google Scholar 

  36. Guo, J., Zhu, L., Liu, W., Huang, H., Liu, S., Wang, T., et al. (2017). Novel radiation-hardened-by-design (RHBD) 12T memory cell for aerospace applications in nanoscale CMOS technology. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(05), 1593–1600.

    Article  Google Scholar 

  37. Surana, N., & Mekie, J. (2018). Energy efficient single-ended 6-T SRAM for multimedia applications. IEEE Transactions on Circuits and Systems II: Express Briefs, 66(6), 1023–1027.

    Article  Google Scholar 

  38. Giterman, R., Atias, L., & Teman, A. (2017). Area and energy-efficient complementary dual-modular redundancy dynamic memory for space applications. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(05), 502–509.

    Article  Google Scholar 

  39. Giterman, R., et al. (2018). Leakage power attack-resilient symmetrical 8T SRAM cell. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 26(10), 2180–2184.

    Article  Google Scholar 

  40. Ruchi, & Dasgupta, S. (2018). Compact analytical model to extract write static noise margin (WSNM) for SRAM cell at 45-nm and 65-nm nodes. IEEE Transactions on Semiconductor Manufacturing, 31(1), 136–143.

    Article  Google Scholar 

  41. Jiang, J., et al. (2019). Quadruple cross-coupled latch based 10T and 12T SRAM bit cell designs for highly reliable terrestrial applications. IEEE Transactions on Circuits and Systems-I, 66(3), 967–977.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Govind Prasad.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

This work was carried out at Research Lab., Dr. SPM IIIT Naya Raipur.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Prasad, G., Mandi, B.C. & Ali, M. Low power and write-enhancement RHBD 12T SRAM cell for aerospace applications. Analog Integr Circ Sig Process 107, 377–388 (2021). https://doi.org/10.1007/s10470-020-01786-8

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-020-01786-8

Keywords

Navigation