Skip to main content
Log in

A 40 nm 16 Gb/s differential transmitter with far-end crosstalk cancellation using injection timing control for high-density flexible flat cables

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

In some long-reach high-density wires such as flexible flat cables, the crosstalk signal from the aggressor to the victim lanes exhibits the time shift that depends on the cables. This paper proposes a far-end crosstalk (FEXT) cancellation method with controllable injection timing of the crosstalk cancellation (XTC) signals into the victim lanes. The proposed method uses a delay-line-based digital-to-time converter for delay tuning and generates the XTC signals at the transmitter, then inject it to cancel the FEXT effectively on these cables. The proposed XTC circuit is implemented in the differential transmitter so that the XTC signal is generated from the digital data signal from the aggressor. The transmitter circuit with the proposed XTC technique is designed in a 40 nm bulk CMOS process, and its feasibility is verified through the post-layout simulation at a data rate of 16 Gb/s.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19

Similar content being viewed by others

References

  1. Hekmat, M., et al. (2016). A 6Gb/s 3-tap FFE transmitter and 5-tap DFE receiver in \(65\text{nm}/0.18\mu \text{ m }\) CMOS for next-generation 8K displays. In 2016 IEEE international solid-state circuits conference (ISSCC) (pp. 402–403).

  2. Lee, S.-K., et al. (2013). A 5 Gb/s single-ended parallel receiver with adaptive crosstalk-induced jitter cancellation. IEEE Journal of Solid-State Circuits, 48(9), 2118–2127.

    Article  Google Scholar 

  3. Oh, T., & Harjani, R. (2013). A 12-Gb/s multichannel I/O using MIMO crosstalk cancellation and signal reutilization in 65-nm CMOS. IEEE Journal of Solid-State Circuits, 48(6), 1383–1397.

    Article  Google Scholar 

  4. Guggenbuhl, W., & Morbach, G. (1993). Forward crosstalk compensation on bus lines. IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, 40(8), 523–527.

    Article  Google Scholar 

  5. Lee, K., et al. (2010). Serpentine microstrip lines with zero far-end crosstalk for parallel high-speed DRAM interfaces. IEEE Transactions on Advanced Packaging, 332(2), 552–558.

    Google Scholar 

  6. Buckwalter, J. F., & Hajimiri, A. (2006). Cancellation of crosstalk-induced jitter. IEEE Journal of Solid-State Circuits, 41(3), 621–632.

    Article  Google Scholar 

  7. Jung, H.-K., et al. (2009). A 4 Gb/s 3-bit parallel transmitter with the crosstalk-induced jitter compensation using TX data timing control. IEEE Journal of Solid-State Circuits, 44(11), 2891–2900.

    Article  Google Scholar 

  8. Jung, H.-K., et al. (2010). A transmitter with different output timing to compensate for the crosstalk-induced jitter of coupled microstrip lines. In 2010 International SoC design conference (pp. 364–367).

  9. Oh, K.-I., et al. (2008). A 5-Gb/s/pin transceiver for DDR memory interface with a crosstalk suppression scheme. In 2008 IEEE custom integrated circuits conference (pp. 639–642).

  10. Lee, S.-K., et al. (2012). A 5Gb/s single-ended parallel receiver with adaptive FEXT cancellation. In 2012 IEEE international solid-state circuits conference (pp. 140–142).

  11. Kao, S.-Y., & Liu, S.-I. (2013). A 7.5-Gb/s one-tap-FFE transmitter with adaptive far-end crosstalk cancellation using duty cycle detection. IEEE Journal of Solid-State Circuits, 48(2), 391–404.

    Article  Google Scholar 

  12. Hwang, K.-D., & Kim, L.-S. (2014). A 5 Gbps 1.6 mW/G bps/CH adaptive crosstalk cancellation scheme with reference-less digital calibration and switched termination resistors for single-ended parallel interface. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(10), 3016–3024.

    Article  Google Scholar 

  13. Sham, K.-J., et al. (2006). FEXT crosstalk cancellation for high-speed serial link design. IEEE Custom Integrated Circuits Conference, 2006, 405–408.

    Google Scholar 

  14. Bae, S.-J., et al. (2011). A 40nm 2Gb 7Gb/s/pin GDDR5 SDRAM with a programmable DQ ordering crosstalk equalizer and adjustable clock-tracking BW. In 2011 IEEE international solid-state circuits conference (pp. 498–500).

  15. Zerbe, J. L., et al. (2001). A 2 Gb/s/pin 4-PAM parallel bus interface with transmit crosstalk cancellation, equalization, and integrating receivers. In 2001 IEEE international solid-state circuits conference (pp. 66–67).

  16. Nazari, M. H., & Emami-Neyestanak, A. (2011). A 15Gb/s 0.5mW/Gb/s 2-tap DFE receiver with far-end crosstalk cancellation. In 2011 IEEE international solid-state circuits conference (pp. 446–448).

  17. Nazari, M. H., & Emami-Neyestanak, A. (2012). A 15-Gb/s 0.5-mW/Gbps two-tap DFE receiver with far-end crosstalk cancellation. IEEE Journal of Solid-State Circuits, 47(10), 2420–2432.

    Article  Google Scholar 

  18. Oh, T., & Harjani, R. (2010). A 5Gb/s \(2\times 2\) MIMO crosstalk cancellation scheme for high-speed I/Os. IEEE Custom Integrated Circuits Conference, 2010, 1–4.

    Google Scholar 

  19. Oh, T., & Harjani, R. (2011). A 6-Gb/s MIMO crosstalk cancellation scheme for high-speed I/Os. IEEE Journal of Solid-State Circuits, 46(8), 1843–1856.

    Article  Google Scholar 

  20. Oh, T., & Harjani, R. (2012). \(4\times 12\) Gb/s 0.96 pJ/b/lane analog-IIR crosstalk cancellation and signal reutilization receiver for single-ended I/Os in 65 nm CMOS. In 2012 symposium on VLSI circuits (VLSIC) (pp. 140–141).

  21. Hall, S. H., & Heck, H. L. (2009). Advanced signal integrity for high-speed digital designs. Hoboken: Wiley.

    Book  Google Scholar 

  22. Kao, S.-Y., & Liu, S.-I. (2013). A 10-Gb/s adaptive parallel receiver with joint XTC and DFE using power detection. IEEE Journal of Solid-State Circuits, 48(11), 2815–2826.

    Article  Google Scholar 

  23. Min, B., et al. (2014). 10 Gb/s adaptive receive-side near-end and far-end crosstalk cancellation circuitry. In 2014 IEEE 57th international midwest symposium on circuits and systems (pp. 77–80).

  24. Cevrero, v, et al. (2015). A 5.9mW/Gb/s 7Gb/s/pin 8-lane single-ended RX with crosstalk cancellation scheme using a XCTLE and 56-tap XDFE in 32nm SOI CMOS. In 2015 symposium on VLSI circuits (pp. C228–C229).

  25. Aprile, C., et al. (2018). An eight-lane 7-Gb/s/pin source synchronous single-ended RX with equalization and far-end crosstalk cancellation for backplane channels. IEEE Journal of Solid-State Circuits, 53(3), 861–872.

    Article  MathSciNet  Google Scholar 

  26. Oh, T., & Harjani, R. (2015). Adaptive techniques for joint optimization of XTC and DFE loop gain in high-speed I/O. ETRI Journal, 37(5), 906–916.

    Article  Google Scholar 

  27. Wilson, J., & Oh, D. (2011). Active crosstalk cancellation for next-generation single-ended memory interfaces. In 2011 IEEE 61st electronic components and technology conference (ECTC) (pp. 202–208).

  28. Pillai, E., & Weiss, J. (2007). Novel T-coil structure and implementation in a 6.4-Gb/s CMOS receiver to meet return loss specifications. In 2007 proceedings 57th electronic components and technology conference (pp. 147–153).

  29. Galal, S., & Razavi, B. (2003). Broadband ESD protection circuits in CMOS technology. IEEE Journal of Solid-State Circuits, 38(12), 2334–2340.

    Article  Google Scholar 

Download references

Acknowledgements

This work was supported through the activities of VLSI Design and Education Center (VDEC), the University of Tokyo in collaboration with Cadence Design Systems, Inc., Synopsys, Inc. and Mentor Graphics, Inc. The authors thank Mr. Norihiko Nakasato for the cable measurement.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Tetsuya Iizuka.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Takahashi, D., Fujita, Y., Miura, S. et al. A 40 nm 16 Gb/s differential transmitter with far-end crosstalk cancellation using injection timing control for high-density flexible flat cables. Analog Integr Circ Sig Process 105, 191–202 (2020). https://doi.org/10.1007/s10470-020-01709-7

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-020-01709-7

Keywords

Navigation