Skip to main content
Log in

A 0.35 V-to-1.0 V synthesizable rail-to-rail dynamic voltage comparator based OAI&AOI logic

  • Mixed Signal Letter
  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

In this letter, we present a two-stage rail-to-rail fully synthesizable dynamic voltage comparator. To improve the speed and mismatch performance of the NAND&NOR-based synthesizable comparator, we have proposed to replace these logics with OAI&AOI logic gates, respectively. The comparator is implemented on CMOS 45 nm technology, operating with a supply voltage from 350 mV to 1.0 V. The proposed comparator has reduced the delay by 2–11\(\times\), reduced the standard deviation of offset by 1.09–1.39\(\times\), and reduced the power consumption up to 3.80\(\times\) compared to the NAND–&NOR-based comparator. Hence, these improvements can be used to further advance the performance of all-digital synthesizable design circuits.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

References

  1. Weaver, S., Hershberg, B., & Moon, U. (2014). Digitally synthesized stochastic flash ADC using only standard digital cells. IEEE Transactions on Circuits and Systems I: Regular Papers, 61(1), 84–91.

    Article  Google Scholar 

  2. Aiello, O., Crovetti, P., & Alioto, M. (2018). Fully synthesizable, rail-to-rail dynamic voltage comparator for operation down to 0.3 V. In IEEE International Symposium on Circuits and Systems (ISCAS) (pp. 1–5).

  3. Fahmy, A., Liu, J., Kim, T., & Maghari, N. (2015). An all-digital scalable and reconfigurable wide-input range stochastic ADC using only standard cells. IEEE Transactions on Circuits and Systems II: Express Briefs, 62(8), 731–735.

    Article  Google Scholar 

  4. Khalapure, S., Siddharth, R. K., YB, N. K., & Vasantha, M. H. (2017). Design of 5-bit flash ADC using multiple input standard cell gates for large input swing. In IEEE Computer Society Annual Symposium on VLSI (ISVLSI) (pp. 585–588).

  5. Xu, B., Li, S., Sun, N., & Pan, D.Z. (2017). A scaling compatible, synthesis friendly VCO-based delta-sigma ADC design and synthesis methodology. In IEEE/ACM Design Automation Conference (DAC) (pp. 1–6).

  6. Choo, K., Kim, H., Kim, W., Kim, J., Kim, T., & Ko, H. (2018). A 0.02 mm\(^2\) fully synthesizable period-jitter sensor using stochastic TDC without reference clock and calibration in 10 nm CMOS technology. In IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC) (pp. 120–122).

  7. Liu, J., Park, B., Guzman, M., Fahmy, A., Kim, T., & Maghari, N. (2018). A fully synthesized 77-dB SFDR reprogrammable SRMC filter using digital standard cells. IEEE Transactions on VLSI Systems, 26(6), 1126–1138.

    Article  Google Scholar 

  8. Bhargava, M., Cakir, C., & Mai, K. (2010). Attack resistant sense amplifier based PUFs (SA-PUF) with deterministic and controllable reliability of PUF responses. In IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (pp. 106–111).

  9. Bryant, T., Chowdhury, S., Forte, D., Tehranipoor, M., & Maghari, N. (2017). A stochastic all-digital weak physically unclonable function for analog/mixed-signal applications. In IEEE International Symposium on Hardware Oriented Security and Trust (HOST) (pp. 140–145).

  10. Taneja, S., Alvarez, A. B., & Alioto, M. (2018). Fully synthesizable PUF featuring hysteresis and temperature compensation for 3.2% native BER and 1.02 fJ/b in 40 nm. IEEE Journal of Solid-State Circuits, 53(10): 2828–2839.

  11. Li, Y., Zhang, X., Zhang, Z., & Lian, Y. (2016). A 0.45-to-1.2-V fully digital low-dropout voltage regulator with fast-transient controller for near/subthreshold circuits. IEEE Transactions on Power Electronics, 31(9), 6341–6350.

    Article  Google Scholar 

  12. Deng, W., Yang, D., Ueno, T., Siriburanon, T., Kondo, S., Okada, K., et al. (2015). A fully synthesizable all-digital PLL with interpolative phase coupled oscillator, current-output DAC, and fine-resolution digital varactor using gated edge injection technique. IEEE Journal of Solid-State Circuits, 50(1), 68–80.

    Article  Google Scholar 

  13. Chang, D., Seo, M., Hong, H., & Ryu, S. (2018). A 65 nm 0.08-to-680 MHz low-power synthesizable MDLL with nested-delay cell and background static phase offset calibration. IEEE Transactions on Circuits and Systems II: Express Briefs, 65(3), 281–285.

    Article  Google Scholar 

  14. Seong, K., Lee, W., Kim, B., Sim, J., & Park, H. (2017). All-synthesizable current-mode transmitter driver for USB2.0 interface. IEEE Transactions on VLSI Systems, 25(2), 788–792.

    Article  Google Scholar 

  15. Yuan, W., & Walling, J. S. (2017). A switched-capacitor-controlled digital-current modulated class-E transmitter. IEEE Transactions on VLSI Systems, 25(11), 3218–3226.

    Article  Google Scholar 

  16. Li, Y., Mao, W., Zhang, Z., & Lian, Y. (2014). An ultra-low voltage comparator with improved comparison time and reduced offset voltage. In IEEE Asia Pacific Conference on Circuits and Systems (APCCAS) (pp. 407–410).

  17. Nuzzo, P., De Bernardinis, F., Terreni, P., & Van der Plas, G. (2008). Noise analysis of regenerative comparators for reconfigurable ADC architectures. IEEE Transactions on Circuits and Systems I: Regular Papers, 55(6), 1441–1454.

    Article  MathSciNet  Google Scholar 

  18. Xu, H., & Abidi, A. A. (2019). Analysis and design of regenerative comparators for low offset and noise. IEEE Transactions on Circuits and Systems I: Regular Papers, 66(8), 2817–2830.

    Article  Google Scholar 

  19. Wicht, B., Nirschl, T., & Schmitt-Landsiedel, D. (2004). Yield and speed optimization of a latch-type voltage sense amplifier. IEEE Journal of Solid-State Circuits, 39(7), 1148–1158.

    Article  Google Scholar 

Download references

Acknowledgements

This research is supported in part by the National Key Research and Development Program of China under Grant No. 2019YFB2204500 and in part by the Science, Technology and Innovation Action Plan of Shanghai Municipality, China under Grant No. 1914220370.

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Yongfu Li.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Li, X., Zhou, T., Ji, Y. et al. A 0.35 V-to-1.0 V synthesizable rail-to-rail dynamic voltage comparator based OAI&AOI logic. Analog Integr Circ Sig Process 104, 351–357 (2020). https://doi.org/10.1007/s10470-020-01682-1

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-020-01682-1

Keywords

Navigation