Skip to main content

Advertisement

Log in

SCDNDTDL: a technique for designing low-power domino circuits in FinFET technology

  • Published:
Journal of Computational Electronics Aims and scope Submit manuscript

Abstract

A new technique called series-connected dynamic node-driven transistor domino logic (SCDNDTDL) is proposed for the design of circuits with high speed and low power consumption in fin-shaped field-effect transistor (FinFET) technology. HSPICE is used to simulate 2-, 4-, 8-, and 16-input domino OR gates in complementary metal–oxide–semiconductor (CMOS) and FinFET technology using the 32-nm Predictive Technology Model (PTM) library with a supply voltage of 0.9 V. The proposed technique shows a maximum power reduction of 73.16% in the FinFET short gate (SG) mode as compared with the conditional stacked keeper domino logic (CSK-DL) technique and a maximum delay reduction of 36.36% in the FinFET SG mode as compared with the voltage comparison-based domino (VCD) technique at a frequency of 50 MHz. The unity noise gain of the proposed circuit is 1.64 to 3.77 times higher in the FinFET SG mode and 1.39 to 3.77 times higher in the FinFET low-power (LP) mode compared with different existing techniques. The proposed circuit has up to 18.94 times higher figure of merit (FOM) in the SG mode and up to 7.14 times higher FOM in the LP mode compared with existing techniques. The proposed circuit in FinFET technology shows a maximum power reduction of 68.47% as compared with its counterpart in CMOS technology for a 16-input OR gate. The proposed circuit has 6.06 times lower energy–delay product and 4.53 times lower power–delay product compared with its counterpart in CMOS technology for a 16-input OR gate.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7
Fig. 8
Fig. 9
Fig. 10
Fig. 11
Fig. 12
Fig. 13
Fig. 14
Fig. 15
Fig. 16
Fig. 17
Fig. 18
Fig. 19
Fig. 20
Fig. 21

Similar content being viewed by others

References

  1. Farkhani, H., Peiravi, A., Kargaard, J.M., Moradi, F.: Comparative study of FinFETs versus 22 nm bulk CMOS technologies: SRAM design perspective. In: 27th IEEE International System-on-Chip Conference (SOCC), pp. 449–454 (2014)

  2. Garg, S., Gupta, T.K.: FDSTDL: low-power technique for FinFET domino circuits. Int. J. Circuit Theory Appl. 47(6), 917–940 (2019). https://doi.org/10.1002/cta.2627

    Article  Google Scholar 

  3. Sharroush, S.M., Abdalla, Y.S., Dessouki, A.A.: Impact of technology scaling on the performance of domino CMOS logic. Int. Conf. Electron. Des. 1, 1–3 (2008). https://doi.org/10.1109/ICED.2008.4786755

    Article  Google Scholar 

  4. Tawfik, S.A., Kursun, V.: High-speed FinFET domino logic circuits with independent gate-biased double-gate keepers providing dynamically adjusted immunity to noise. In: Proceedings of IEEE International Conference on Microelectronics, pp. 175–178 (2007). https://doi.org/10.1109/ICM.2007.4497687

  5. Mishra, P., Muttreja, A., Jha, N.K.: FinFET Circuit Design. Springer, New York (2011). https://doi.org/10.1007/978-1-4419-7609-3_2

    Book  Google Scholar 

  6. Bohr, M.T., Young, I.A.: CMOS scaling trends and beyond. IEEE Micro 37(6), 20–29 (2017). https://doi.org/10.1109/mm.2017.4241347

    Article  Google Scholar 

  7. Kursun, V., Friedman, E.G.: Multi-voltage CMOS Circuit Design. Wiley, New York (2006). https://doi.org/10.1002/0470033371

    Book  Google Scholar 

  8. Nasserian, M., Kafi-Kangi, M., Maymandi-Nejad, M., Moradi, F.: A low-power fast tag comparator by modifying charging scheme of wide fan-in dynamic OR gates. Integr. VLSI J. 52, 129–141 (2016). https://doi.org/10.1016/j.vlsi.2015.09.004

    Article  Google Scholar 

  9. Liu, Y.X.: 4-terminal FinFETs with high threshold voltage controllability, In: Proceedings of IEEE Device Research Conference, pp. 207–208 (2004). https://doi.org/10.1109/DRC.2004.1367867

  10. Crupi, F., Alioto, M., Franco, J., Magnone, P., Togo, M., Horiguchi, N., Groeseneken, G.: Understanding the basic advantages of bulk FinFETs for sub-and near-threshold logic circuits from device measurements. IEEE Trans. Circuits Syst. II 59(7), 439–442 (2012). https://doi.org/10.1109/TCSII.2012.2200171

    Article  Google Scholar 

  11. Tawfik, S.A., Kursun, V.: FinFET domino logic with independent gate keepers. Microelectron. J. 40(11), 1531–1540 (2009). https://doi.org/10.1016/j.mejo.2009.01.011

    Article  Google Scholar 

  12. Muttreja, A., Agarwal, N., Jha, N.K.: CMOS logic design with independent-gate FinFETs. In: Proceedings of International Conference on Computer Design, pp. 560–567 (2007). https://doi.org/10.1109/ICCD.2007.4601953

  13. Roy, K., Mukhopadhyay, S., Mahmoodi, H.: Leakage current mechanisms and leakage reduction techniques in deep-submicron CMOS circuits. Proc. IEEE 91(2), 305–327 (2003). https://doi.org/10.1109/JPROC.2002.808156

    Article  Google Scholar 

  14. Anis, M., Areibi, S., Elmasry, M.: Design and optimization of multi-threshold CMOS (MTCMOS) circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(10), 1324–1342 (2003). https://doi.org/10.1109/TCAD.2003.818127

    Article  Google Scholar 

  15. Gupta, T.K., Pandey, A.K., Meena, O.P.: Analysis and design of lector-based dual-Vt domino logic with reduced leakage current. Circuit World 43(3), 97–104 (2017). https://doi.org/10.1108/CW-03-2017-0013

    Article  Google Scholar 

  16. Wey, I.C., Chang, C.W., Liao, Y.C., Choui, H.J.: Noise-tolerant dynamic CMOS circuits design by using true single-phase clock latching technique. Int. J. Circuit Theory Appl. 43(7), 854–865 (2015). https://doi.org/10.1002/cta.1976

    Article  Google Scholar 

  17. Liao, N., Cui, X., Liao, K., Ma, K., Wu, D., Wei, W., Li, R., Yu, D.: Low power adiabatic logic based on FinFETs. Sci. China Inf. Sci. 57(2), 1–13 (2014). https://doi.org/10.1007/s11432-013-4902-x

    Article  Google Scholar 

  18. Mahmoodi, H., Roy, K.: Diode footed domino: a leakage tolerant high fan-in dynamic circuit design style. IEEE Trans. Circ. Syst. I 51(3), 495–503 (2004). https://doi.org/10.1109/TCSI.2004.823665

    Article  Google Scholar 

  19. Cheng, C.H., Chang, S.C., Wang, J.S., Jone, W.B.: Charge sharing fault detection for CMOS domino logic circuits. In: Proceedings of International Symposium on Defect and Fault Tolerance in VLSI Systems, pp. 77–85 (1999). https://doi.org/10.1109/DFTVS.1999.802872

  20. Gupta, T.K., Khare, K.: Lector with footed-diode inverter: a technique for leakage reduction in domino circuits. Circ. Syst. Signal Process. 32(6), 2707–2722 (2013). https://doi.org/10.1007/s00034-013-9615-2

    Article  Google Scholar 

  21. Peiravi, A., Asyaei, M.: Robust low leakage controlled keeper by current-comparison domino for wide fan-in gates. Integr. VLSI J. 45(1), 22–32 (2012). https://doi.org/10.1016/j.vlsi.2011.07.002

    Article  Google Scholar 

  22. Dadoria, A.K., Khare, K., Gupta, T.K., Singh, R.P.: A novel high-performance leakage-tolerant wide fan-in domino logic circuit in deep sub-micron technology. Circ. Syst. 6(4), 103–111 (2015). https://doi.org/10.4236/cs.2015.64011

    Article  Google Scholar 

  23. Moradi, F., Cao, T.V., Vatajelu, E.I., Peiravi, A., Mahmoodi, H., Wisland, D.T.: Domino logic designs for high-performance and leakage tolerant applications. Integr. VLSI J. 46(3), 247–254 (2013). https://doi.org/10.1016/j.vlsi.2012.04.005

    Article  Google Scholar 

  24. Gong, N., Guo, B., Lou, J., Wang, J.: Analysis and optimization of leakage current characteristics in sub-65 nm dual vt footed domino circuits. Microelectron. J. 39, 1149–1155 (2008). https://doi.org/10.1016/j.mejo.2008.01.028

    Article  Google Scholar 

  25. Moradi, F., Mahmoodi, H., Peiravi, A.: A high speed and leakage-tolerant domino logic for high fan-in gates. In: Proceedings of the 15th ACM GLSVLSI 2005, pp. 478–481. https://doi.org/10.1145/1057661.1057775

  26. Moradi, F., Peiravi, A., Mahmoodi, H.: A new leakage-tolerant design for high fan-in domino gates. In: Proceedings of International Conference on Microelectronics, pp. 493–496 (2004). https://doi.org/10.1109/ICM.2004.1434707

  27. Asyaei, M.: A new leakage-tolerant domino circuit using voltage-comparison for wide fan-in gates in deep sub-micron technology. Integr. VLSI J. 51, 61–71 (2015). https://doi.org/10.1016/j.vlsi.2015.06.003

    Article  Google Scholar 

  28. Dadoria, A., Khare, K., Gupta, T.K., Singh, R.P.: Ultra-low power FinFET- based domino circuits. Int. J. Electron. 104(6), 952–967 (2017). https://doi.org/10.1080/00207217.2017.1279227

    Article  Google Scholar 

  29. Shanbhag, N., Soumyanath, K., Martin, S.: Reliable low-power design in the presence of deep submicron noise. In: Proceedings of the 2000 International Symposium on Low Power Electronics and Design, Rapallo, Italy, July 25–27 (2000). https://doi.org/10.1109/LPE.2000.155302

  30. Peiravi, A., Asyaei, M.: Current-comparison-based domino: new low-leakage high-speed domino circuit for wide fan-in gates. IEEE Trans. VLSI Syst. 21(5), 934–943 (2013). https://doi.org/10.1109/TVLSI.2012.2202408

    Article  Google Scholar 

  31. Kumar, A., Islam, A.: Multi-gate device and summing—circuit co-design robustness studies@ 32-nm technology node. Microsyst. Technol. 23(9), 4099–4109 (2017). https://doi.org/10.1007/s00542-016-3055-4

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Sandeep Garg.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Garg, S., Gupta, T.K. SCDNDTDL: a technique for designing low-power domino circuits in FinFET technology. J Comput Electron 19, 1249–1267 (2020). https://doi.org/10.1007/s10825-020-01499-1

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10825-020-01499-1

Keywords

Navigation