Skip to main content
Log in

High Performance Four Segment Error Tolerant Adder for 8-bit Pixel Depth Image Processing Applications

  • Published:
Journal of Signal Processing Systems Aims and scope Submit manuscript

Abstract

This research proposes a high-performance Carry Select Approximate Full Adder (CSAFA) with one error out of the eight possible output cases for high accuracy 8-bit pixel depth image processing applications. The logic optimization of the proposed CSAFA module is based on a structural hierarchy of AND-OR logic and multiplexer based pre-computation selection logic which reduces the critical path switching activity. The proposed method has the advantage of higher speed, lower power consumption and improved area efficiency. Simulation results show that the proposed CSAFA reduces the critical path delay, power consumption, area, Power-Delay Product (PDP) and Area-Delay Product (ADP) by 26.81%, 44.99%, 23.53%, 59.74%, 44.03% respectively, compared to the existing Conventional Full Adder (CFA). Further, the proposed structure incorporates the 8-bit Error Tolerant Adder (ETA-CSAFA and ETA-CSAFA1) designs. When comparing with 99.5992% Computational Accuracy (CA), the proposed ETA-CSAFA1 design exhibits 0.26% less CA and it offers a savings of 27.82% PDP and 34.39% ADP with respect to the existing ETA-2LOA architecture. The results can be substantiated with an example, a 4-bit accurate part based ETA-CSAFA1 implemented with the proposed approach almost achieves the same CA, while simultaneously reducing the power consumption by 18.14% with respect to the existing best 6-bit accurate part based ETA-2LOA architecture for 8-bit image processing applications.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Figure 1
Figure 2
Figure 3
Figure 4
Figure 5
Figure 6
Figure 7
Figure 8
Figure 9

Similar content being viewed by others

References

  1. Gupta, V., Mohapatra, D., Raghunathan, A., & Roy, K. (2013). Lowpower digital signal processing using approximate adders. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(1), 124–137.

    Article  Google Scholar 

  2. Yang, Z., Jain, A., Liang, J., Han, J., and Lombardi, F. (2013) Approximate xor/xnor-based adders for inexact computing, in Proc. IEEE-NANO, pp. 690–693.

  3. Yang, Z., Han, J., and Lombardi, F. 2015. Transmission gate-based approximate adders for inexact computing, in Proc. NANOARCH, IEEE/ACM International Symposium on. IEEE, pp. 145–150.

  4. Ing, C. L., Yi, M. Y., & Cheng, C. L. (2015). High-performance low-power carry speculative addition with variable latency. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23(9), 1591–1603.

    Article  Google Scholar 

  5. Kim, S., & Kim, Y. (2016). Energy-efficient hybrid adder design by using inexact lower bits adder. IEEE Asia Pacific Conference on Circuits and Systems, APCCAS, 355–357.

  6. Ning, Z., Wang, L. G., Weija, Z., Kiat, S. Y., & Zhi, H. K. (2010). Design of low-power high-speed truncation-error-tolerant adder and its application in digital signal processing. IEEE Transactions on Very Large Scale Integration Systems, 18(8), 1225–1229.

    Article  Google Scholar 

  7. Ramkumar, B., & Kittur, H. M. (2012). Low-power and area-efficient carry select adder. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 20(2), 371–375.

    Article  Google Scholar 

  8. Zhu, N., Goh, W., Zhang, W., Yeo, K., & Kong, Z. (2010). Design of low-Power high-speed truncation-error-tolerant adder and its application in digital signal processing. IEEE Transaction on VLSI Systems, 18(8), 1225–1229.

    Article  Google Scholar 

  9. Mahdiani, H. R., Ahmadi, A., Fakhraie, S. M., & Lucas, C. (2010). Bio-inspired imprecise computational blocks for efficient VLSI implementation of soft-computing applications. IEEE Transactions on Circuits and Systems I: Regular Papers, 57(4), 850–862.

    Article  MathSciNet  Google Scholar 

  10. Ebrahimi-Azandaryani, F., Akbari, O., Kamal, M., Afzali-Kusha, A., & Pedram, M. Block-based carry speculative approximate adder for energy-efficient applications. IEEE Transactions on Circuits and Systems II: Express Briefs. https://doi.org/10.1109/TCSII.2019.2901060.

  11. Mrazek, Vojtech, Muhammad Abdullah Hanif, Zdenek Vasicek, Lukas Sekanina, and Muhammad Shafique. (2019) autoAx: an automatic design space exploration and circuit building methodology utilizing libraries of approximate components. In Proceedings of the 56th Annual Design Automation Conference 2019, p. 123, ACM.

  12. Nepal, Kumud, Yueting Li, R. Iris Bahar, and Sherief Reda. (2014) ABACUS: A technique for automated behavioral synthesis of approximate computing circuits. In 2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 1–6. IEEE.

  13. Hanif, M. A., Marchisio, A., Arif, T., Hafiz, R., Rehman, S., & Shafique, M. (2018). X-DNNs: Systematic cross-layer approximations for energy-efficient deep neural networks. ASP Journal of Low Power Electronics (JOLPE), 14(4), 520–534.

  14. Hashemi, Soheil, Hokchhay Tann, Francesco Buttafuoco, and Sherief Reda. (2018) Approximate computing for biometric security systems: A case study on iris scanning. In 2018 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp. 319–324. IEEE.

  15. Raha, Arnab, and Vijay Raghunathan. (2017) Towards full-system energy-accuracy tradeoffs: A case study of an approximate smart camera system. In 2017 54th ACM/EDAC/IEEE Design Automation Conference (DAC), pp. 1–6, IEEE.

  16. Akbari, O., et al. (2018). Towards approximate computing for coarse-grained reconfigurable architectures. IEEE Micro, 38(6), 63–72.

    Article  Google Scholar 

  17. Jothin, R., & Vasanthanayaki, C. (2016). High performance significance approximation error tolerance adder for image processing applications. Journal of Electronic Testing Theory and Applications, 32(3), 377–384.

    Article  Google Scholar 

  18. Jothin, R, Vasanthanayaki, C., (2018) High performance error tolerant adders for image processing applications, IETE Journal of Research, ISSN 0377–2063, https://doi.org/10.1080/03772063.2018.1535920.

  19. Chippa, Vinay K., Srimat T. Chakradhar, Kaushik Roy, and Anand Raghunathan. (2013). Analysis and characterization of inherent application resilience for approximate computing. In Proceedings of the 50th Annual Design Automation Conference, p. 113, ACM.

  20. Venkatachalam, S., & Ko, S.-B. (2017). Design of power and area efficient approximate multipliers. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 25(5), 1782–1786.

    Article  Google Scholar 

  21. Muhammad, K. A., Osman, H., and Muhammad, S., (2017). Statistical error analysis for low power approximate adders, in Proc. 54th Ann Des Autom Conf. https://doi.org/10.1145/3061639.3062319.

  22. Cadence SOC Encounter. [Online]. Available: http://www.cadence.com.

  23. Lau, M.S.K., Ling, K.-V., and Chu, Y.-C. (2009). Energy-aware probabilistic multiplier: Design and analysis, in Proc. Int. Conf. Compilers Archit. Synthesis Embedded Syst., Grenoble, France, pp. 281–290.

  24. Myler, H. R., & Weeks, A. R. (2009). The pocket handbook of image processing algorithms in C. Upper Saddle River: Prentice-Hall.

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to R. Jothin.

Additional information

Publisher’s Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Jothin, R., Vasanthanayaki, C. High Performance Four Segment Error Tolerant Adder for 8-bit Pixel Depth Image Processing Applications. J Sign Process Syst 92, 693–703 (2020). https://doi.org/10.1007/s11265-020-01528-z

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11265-020-01528-z

Keywords

Navigation