Skip to main content

Advertisement

Log in

Optimization of thermal aware multilevel routing for 3D IC

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

Due to the technological advancements, the three dimensional Integrated Circuits become the most popular technology. But it has the major drawback of increased time consumption as well power consumption. This happens because of the increased wire length and routing path for connecting the components in chip. Thus it is essential to reduce the wire length for the purpose of enhancing the circuit speed and minimize the power dissipation. But there may be a chance of temperature rise due to the heat generated by the slacked layers which also needs to be reduced. Several traditional approaches have addressed the issues of temperature rise and layer assignment. But the utilization of through-silicon-via is considered. Thus a new stochastic based genetic algorithm is proposed in this work for reducing the thermal estimations. Also the length of wire can be reduced by determining the minimal path so as to connect the components. Both partitioning and routing process takes place in this approach. The performance of the proposed approach is analyzed using ISPD2008 dataset. Also the results of this stochastic based model are compared with the existing algorithm. The superiority of this proposed approach is proved with reduced wire length and temperature estimations.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. Das, S. S., & Bose, R. (2013). A genetic algorithm based approach for optimization of test time and TAM length For 3D SoC considering pre-bond test under the constraint on the number of TSVs. International Journal of Engineering Research and Applications (IJERA), 3, 347–356.

    Google Scholar 

  2. Pandiaraj K., et al. (2017). Minimizationof wirelength in 3d IC routing by using differential evolution algorithm. In 2017 IEEE international conference on electrical, instrumentation and communication engineering (ICEICE) (pp. 1–5).

  3. Pandiaraj, K et al. (2017). Reduction of temperature rise in 3D IC routing. In 2017 IEEE international conference on electrical, instrumentation and communication engineering (ICEICE) (pp. 1–5).

  4. Osmolovskyi, S. et al. (2018). Optimal die placement for interposer-based 3D ICs. In Proceedings of the 23rd Asia and South Pacific design automation conference (pp. 513–520).

  5. Hou, L., et al. (2018). A method to alleviate hot spot problem in 3D IC. Microelectronic Engineering,190, 19–27.

    Article  Google Scholar 

  6. Chen, S. et al. (2018). Adaptive 3D-IC TSV fault tolerance structure generation. arXiv preprint arXiv:1803.02490.

  7. Chen, G. et al. (2017). Minimizing thermal gradient and pumping power in 3D IC liquid cooling network design. In Proceedings of the 54th annual design automation conference 2017 (p. 70).

  8. Das, S., et al. (2017). Design-space exploration and optimization of an energy-efficient and reliable 3-D small-world network-on-chip. IEEE Transactions on CAD of Integrated Circuits and Systems,36, 719–732.

    Article  Google Scholar 

  9. Lu, T., et al. (2017). TSV-based 3-D ICs: Design methods and tools. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,36, 1593–1619.

    Article  Google Scholar 

  10. Yip, L. et al. (2017). Board level reliability optimization for 3D IC packages with extra large interposer. In 2017 IEEE 67th electronic components and technology conference (ECTC) (pp. 1269–1275).

  11. Samal, S. K., et al. (2017). Improving performance under process and voltage variations in near-threshold computing using 3D ICs. ACM Journal on Emerging Technologies in Computing Systems (JETC),13, 59.

    Google Scholar 

  12. Abdallah, A. B. et al. (2017). A low-overhead fault tolerant technique for TSV-based interconnects in 3D-IC systems. In 2017 18th international conference on sciences and techniques of automatic control and computer engineering (STA) (pp. 179–184).

  13. Ahmed, M. A. (2017). Early layout design exploration in TSV-based 3D integrated circuits. Dissertations and Theses. Paper 3617.

  14. Mirzaie, N. et al. (2017). A performance-aware I/O interface for 3D stacked memory systems. In 2017 IEEE 8th annual ubiquitous computing, electronics and mobile communication conference (UEMCON) (pp. 369–371).

  15. Funke, J., et al. (2016). An exact algorithm for wirelength optimal placements in VLSI design. Integration, the VLSI Journal,52, 355–366.

    Article  Google Scholar 

  16. Chen, Y.-G., et al. (2015). Novel spare TSV deployment for 3-D ICs considering yield and timing constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,34, 577–588.

    Article  Google Scholar 

  17. Serafy, C., & Srivastava, A. (2014). TSV replacement and shield insertion for TSV-TSV coupling reduction in 3D global placement. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,34, 554–562.

    Article  Google Scholar 

  18. Manna, S. et al. (2015). Efficient VLSI routing optimization employing discrete differential evolution technique. In 2015 IEEE 2nd international conference on recent trends in information systems (ReTIS) (pp. 461–464).

  19. Shi, B., & Srivastava, A. (2014). Optimized micro-channel design for stacked 3-D-ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,33, 90–100.

    Article  Google Scholar 

  20. Roy, D. et al. (2014). A thermal and congestion driven global router for 3D integrated circuits. In 2014 IEEE students’ technology symposium (TechSym) (pp. 303–308).

  21. Hsu, P.-Y., et al. (2014). Stacking signal TSV for thermal dissipation in global routing for 3-D IC. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,33, 1031–1042.

    Article  Google Scholar 

  22. Yeh, H. -H. et al. (2013). Temperature rise minimization through simultaneous layer assignment and thermal through-silicon-via planning. In 2013 8th international microsystems, packaging, assembly and circuits technology conference (IMPACT) (pp. 207–210).

  23. Alpert, C. J. (1998). The ISPD98 circuit benchmark suite. In Proceedings of the 1998 International Symposium on Physical Design.

  24. ISPD 08 Benchmark dataset. http://www.ispd.cc/contests/08/ispd08rc.html.

  25. Sait, S. M., & Siddiqi, U. F. (2016). A stochastic evolution algorithm based 2D VLSI global router. Integration, the VLSI Journal,53, 115–125.

    Article  Google Scholar 

  26. Cho, M., et al. (2009). BoxRouter 2.0: A hybrid and robust global router with layer assignment for routability. ACM Transactions on Design Automation of Electronic Systems (TODAES),14, 32.

    Article  Google Scholar 

  27. Siddiqi, U. F., et al. (2015). A game theory-based heuristic for the two-dimensional VLSI global routing problem. Journal of Circuits, Systems and Computers,24, 1550082.

    Article  Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to P. Sivakumar.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sivakumar, P., Pandiaraj, K. & JeyaPrakash, K. Optimization of thermal aware multilevel routing for 3D IC. Analog Integr Circ Sig Process 103, 131–142 (2020). https://doi.org/10.1007/s10470-019-01513-y

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-019-01513-y

Keywords

Navigation