Scalable and energy efficient wireless inter chip interconnection fabrics using THz-band antennas

https://doi.org/10.1016/j.jpdc.2020.02.002Get rights and content

Abstract

Computing platforms ranging from embedded systems to server blades comprise of multiple Systems-on-Chips (SoCs). Conventionally, communication between chips in these multichip platforms are realized using high-speed I/O modules over metal traces on a substrate. Due to the high-power consumption of I/O modules and non-scalable pitch of pins or solder bumps their bandwidth density and power consumption becomes bottleneck for multichip systems. Wireless chip-to-chip communication is emerging as an alternative solution to the traditional interconnection challenges of multichip systems. Novel devices based on graphene structures capable of establishing wireless links are explored in recent literature to provide high bandwidth THz links. In this work, we propose to utilize graphene-based wireless links to enable energy-efficient, multi-modal chip-to-chip communication protocol to create toroidal folding based interconnection architectures for multichip systems. With cycle-accurate simulations we demonstrate that such designs can outperform state-of-the-art wireline multichip systems.

Introduction

Platform based computing systems consisting of multiple System-on-Chips (SoCs) or multicore processors are needed to support the complexity of modern server or embedded systems. With the increase in computational demands, the number of SoCs or multicore chips in a platform have increased making the modern computing systems more complex [1]. This makes the interconnection fabric in these systems grow in both size and complexity. Therefore, the overall performance of the system depends on the efficiency of the interconnect architectures of both inter-chip and intra-chip chip communications. The advancement in intra-chip communication has been able to address the scalability and bandwidth issues by making a transition from bus-based systems to Network-on-Chip (NoC) architectures [2]. However, the performance of inter-chip communication is limited by the traditional interconnect architectures. Traditional inter-chip interconnections are realized using solder bumps or C4 interconnects placing individual chips on a substrate or Printed Circuit Board (PCB). Peripheral Component Interconnect (PCI) is one of the most common standard local I/O bus technology to interconnect board-level multichip systems. Recently, PCI express (PCIe) is presented as next generation I/O technology [3]. However, recent trends according to the International Technology Roadmap for Semiconductors (ITRS) predict that the pitch of the I/O interconnects in ICs is not scaling as fast as the gate lengths or pitch of on-chip interconnects [4], [5]. This implies a gap in density and performance of traditional I/O systems relative to on-chip interconnections. Moreover, longer and bulkier substrate traces for inter-chip communication due to the wiring complexity further aggravates the crosstalk and the signal integrity issues. Typically, inter-chip communication involves multihop paths over intra-chip global wires in both source and destination chips, I/O blocks and substrate traces. Often the intra and inter-chip communication protocols are also different to offer design flexibility but results in loss of speed and energy efficiency.

While metallic inter-chip interconnects are not scaling well, research in recent years have bought to light many alternative interconnect solutions like inter-chip photonics [6], vertically integrated monolithic 3D ICs [7] or silicon interposers [8] inductive and capacitive coupling [9] and inter-chip wireless interconnects [10], [11] as solutions to the off-chip interconnection challenges. Recent research envisions wireless communication in the Terahertz band (0.1–10 THz) as a key technology to satisfy the increasing demand for high speed communication [12]. Wireless data communication links up to several centimetres in length with graphene-based antenna arrays are demonstrated [12]. Novel transmitting and receiving devices based on micro-scale graphene structures have been investigated in [12]. These wireless interconnections are shown to improve energy efficiency and bandwidth of on-chip data communication in multicore chips over state-of-the-art counterparts [13]. While many conventional architectures have been proposed in recent time. Our study of antenna array architecture that leverages the properties of graphene-based plasmonic devices is based on [14].

In this work, we propose to use such graphene-based THz band wireless interconnects to establish a seamless communication backbone which enables data exchange between cores in a single chip as well as in a multichip system. THz band interconnects can support wider bandwidths and higher data rates compared to other wireless interconnects in Ultra-Wide Band (UWB) or millimetre wave (mm-wave) interconnects [12]. Moreover, smaller antenna sizes compared to mm-wave antennas can enable on-chip implementations of antenna arrays providing beam-steering capability. Such beam-steering will in turn support novel architectures. We propose and evaluate two interconnection architectures for multi-chip system with several multi-core processors, based on network folding approach with graphene antennas. We propose a novel multi-modal medium access mechanism to establish the wireless links between the communicating cores in the multicore system. The same switching protocol for both on-chip and inter-chip data communication is used for seamless data exchange. We perform thorough performance evaluation of the interconnection fabric based on system-level simulations and compare the proposed architectures with multiple wired traditional fabrics based on mesh or concentrated mesh topologies. We show that the use of graphene based folded architectures can reduce the energy consumption of data transfer over multichip systems compared to wireline counterpart. Finally, we also compare the graphene-based interconnection fabric with other emerging technologies like inter-chip photonic links and millimetre-wave wireless interconnects.

The rest of the paper is organized as follows. In Section 2 we summarize the related works from the focused perspective of research in wireless inter-chip interconnection fabrics and advances in graphene based on-chip THz links. In Section 3 we present the two-proposed folded graphene based wireless multi-chip interconnections and the rationale behind them. In Section 4 we present thorough performance evaluations and comparison with other architectures and technologies with conclusions in Section 5.

Section snippets

Wireless multichip integration technology

Intra-chip wireless NoCs and chip-to-chip wireless links in the context of sensor networks, Internet-of-Things (IoT) and mobile computing have been studied for a long time resulting in a rich body of literature over the past decade. However, here we focus our attention towards recent research on using wireless interconnects in multi-chip computing platforms such as blade servers and embedded systems consisting of multiple multicore processors. In [15] transceivers for wireless multichip systems

Graphene-based wireless interconnection framework

In this section, we describe the topology, physical layer and communication protocols of the proposed graphene based folded multichip interconnection fabric.

Simulation results

In this section, we evaluate the performance and energy efficiency of the wireless intra and inter-chip interconnection fabric using a cycle accurate simulator. We compare the wireless interconnect based multichip system with their wireline counterparts using both synthetic and application-specific traffic patterns. The simulator characterizes the multichip architecture and models the progress of the flits over the switches and links per cycle accounting for those flits that reach the

Conclusion and future work

In this paper, we present the design of a hybrid graphene-based wireless inter and intra-chip wireless interconnection fabric with a folding strategy. We propose two different wireless architecture with different deployment strategies of graphene based wireless interconnects. Using low power and high bandwidth graphene-based wireless links the performance and energy efficiency of systems can be significantly improved compared to wired counterparts with both synthetic and application-based

Declaration of Competing Interest

No author associated with this paper has disclosed any potential or pertinent conflicts which may be perceived to have impending conflict with this work. For full disclosure statements refer to https://doi.org/10.1016/j.jpdc.2020.02.002.

Acknowledgement

This work was supported in part by the US National Science Foundation (NSF) CAREER grant CNS-1553264.

Sagar Saxena is currently pursuing his Master of Science in Computer Engineering at Rochester Institute of Technology, Rochester, NY, USA. He received his Bachelor of Technology (BTech) in Electronics and Communication Engineering from Jaypee Institute of Information Technology, India in 2015. His research interests mainly lie in advanced and high-performance computing architectures with a particular focus on design of modern Network-on-Chips (NoC) with novel and emerging interconnect

References (49)

  • OwensJ.D. et al.

    Research challenges for on-chip interconnection networks

    IEEE Micro.

    (2007)
  • BeniniL. et al.

    Networks on chips: a new SoC paradigm

    Computer

    (2002)
  • PCI-SIG, PCI Express Architecture, URL:...
  • International Technology Roadmap for Semiconductors, 2012 Edition, URL:...
  • J. Kye, Y. Woo, J. Zeng, H. Levinson, A. Wehbi, P. Hang, V. Ton-That, V. Kanagala, D. Yu, D. Blackwell, A. Beece, S....
  • HendryL.

    Modeling and evaluation of chip-to-chip scale silicon photonic networks

  • TopolA.W.

    Three-dimensional integrated circuit

    IBM J. Res. Dev.

    (2006)
  • A. Kannan, N.E. Jerger, G.H. Loh, Enabling interposer-based disintegration of multi-core processors, in: 48th Annual...
  • NakaharaH. et al.

    3D layout of spidergon, flattened butterfly and dragonfly on a chip stack with inductive coupling through chip interface

  • M.S. Shamim, J. Muralidharan, A. Ganguly, An interconnection architecture for seamless inter and intra-chip...
  • DiTomasoD. et al.

    IWISE: Inter-router wireless scalable express channels for network-on-chips (nocs) architecture

  • AkyildizI.F. et al.

    Terahertz band: next frontier for wireless communications

    Phys. Commun. J.

    (2014)
  • Sagar Saxena, Deekshith Shenoy Manur, Md Shahriar Shamim, Amlan Ganguly, A folded wireless network-on-chip using...
  • M. Andrello, A. Singh, N. Thawdar, J.M. Jornet, Dynamic beamforming algorithms for ultra-directional terahertz...
  • LahaS. et al.

    New frontier in ultralow power wireless links: Network-on-chip and chip-to-chip interconnects

    IEEE Trans. Comput.-Aided Des. Integr. Circuits Syst.

    (2015)
  • ShamimM.S. et al.

    A wireless interconnection framework for seamless inter and intra-chip communication in multichip systems

    IEEE Trans. Comput.

    (2017)
  • H.H. Yeh, K.L. Melde, 60 GHz multi-antenna design in Multi-Core system, in: Proceedings of the 2012 IEEE International...
  • I. Llatser, et al. Characterization of graphene-based nano-antennas in the terahertz band, in: Proc of 6th EUCAP, 2012,...
  • AbadalS. et al.

    Graphene-enabled wireless communication for massive multicore architectures

    IEEE Commun. Mag.

    (2013)
  • G. Piro, et al. Initial MAC exploration for graphene-enabled wireless networks-on-chip, in: Proc. NANOCOM’ 14....
  • I.E. Masri, et al. Accurate channel models for realistic design space exploration of future wireless NoCs, in: 2018...
  • P. Chen, A. Alù, All-graphene terahertz analog nanodevices and nanocircuits, in: 2013 7th European Conference on...
  • AbadalS. et al.

    OrthoNoC: A broadcast-oriented dual-plane wireless network-on-chip architecture

    IEEE Trans. Parallel Distrib. Syst.

    (2018)
  • X. Timoneda, A. Cabellos-Aparicio, D. Manessis, E. Alarcón, S. Abadal, Channel characterization for chip-scale wireless...
  • Cited by (18)

    • A low-power WNoC transceiver with a novel energy consumption management scheme for dependable IoT systems

      2023, Journal of Parallel and Distributed Computing
      Citation Excerpt :

      In [4], a wireless network-in-package architecture with multicast support was presented; to increase system bandwidth with low energy consumption, the authors integrated an asymmetric wireless interconnection topology, a hybrid two-state medium access control and a flow control scheme. In [38], the authors proposed an energy-efficient graphene-based multi-WNoC with terahertz wireless links based on toroidal folding strategy and OOK-based transceivers. The authors of [28] presented a high-speed transceiver for wireless multi-chip systems.

    • A systematic analysis of power saving techniques for wireless network-on-chip architectures

      2022, Journal of Systems Architecture
      Citation Excerpt :

      WNoCs provide energy efficient architectures for implementing such algorithms [35,30,36–38]. Multi-chip NoC architectures [26,39–44,19,27,45–47,9,48–51,21,52,53] are partition-based communication infrastructures that facilitate traffic distribution and task allocation through independent parallel data flow. For emerging high data-intensive applications, multi-chip NoCs with wireless interconnections provide more parallelism and faster communication than the single-chip WNoCs.

    • Architecting a congestion pre-avoidance and load-balanced wireless network-on-chip

      2022, Journal of Parallel and Distributed Computing
      Citation Excerpt :

      If the transmission frequency can be increased to the THz range, the corresponding antenna size shrinks accordingly, thus need less chip area. The authors in [32] proposed the use of graphene-based THz band wireless interconnects to establish a seamless communication backbone. Graphene-based wireless links to implement power-efficient, multi-modal chip-to-chip communication protocol to create an interconnection architecture for multichip systems.

    • Current trends and future perspective of designing on-chip antennas

      2023, International Journal of Microwave and Wireless Technologies
    • Terahertz Band Data Communications using Dielectric Rod Waveguide

      2022, 2022 Optical Fiber Communications Conference and Exhibition, OFC 2022 - Proceedings
    View all citing articles on Scopus

    Sagar Saxena is currently pursuing his Master of Science in Computer Engineering at Rochester Institute of Technology, Rochester, NY, USA. He received his Bachelor of Technology (BTech) in Electronics and Communication Engineering from Jaypee Institute of Information Technology, India in 2015. His research interests mainly lie in advanced and high-performance computing architectures with a particular focus on design of modern Network-on-Chips (NoC) with novel and emerging interconnect technologies.

    Deekshith Shenoy Manur received the B.E. degree from The National Institute of Engineering, Mysore, India in 2013 and MS degree from Rochester Institute of Technology, Rochester in 2017. His research interests include designing wireless network-on-chip architectures using novel devices for intra and inter-chip wireless communication.

    Naseef Mansoor is currently an Assistant Professor in the department of Electrical and Computer Engineering and Technology at Minnesota State University, Mankato, MN. He received his PhD in Computing and Information Sciences from Rochester Institute of Technology, Rochester, NY, USA in 2017. Prior to his PhD, he received his BSc in Computer Science and Engineering from Bangladesh University of Engineering and Technology, Dhaka, Bangladesh in 2009. His research interests are in wireless and photonic Network-on-Chip architectures, and heterogeneous computing systems.

    Amlan Ganguly is currently an Associate Professor in the Department of Computer Engineering at Rochester Institute of Technology, Rochester, NY, USA. He received his PhD and MS degrees from Washington State University, USA and BTech from Indian Institute of Technology, Kharagpur, India in 2010, 2008 and 2005 respectively. His research interests are in robust and scalable intra-chip and inter-chip interconnection architectures and novel datacenter networks with emerging technologies such as wireless interconnects. He is a member of IEEE.

    View full text