Skip to main content
Log in

Enhanced test algorithm for nanoelectronic Resistive Random Access Memory testing using self check write scheme

  • Published:
Analog Integrated Circuits and Signal Processing Aims and scope Submit manuscript

Abstract

Emerging nanoelectronic memories such as PCRAM, STT-RAM, Ferroelectric FET Memory and Resistive Random Access Memory (RRAM) are proficient to substitute the traditional memory technologies such as SRAMs, DRAMs and flash memory in future computers. Among all these nanoelectronic memories RRAM (combines the merits of both RAM and Flash memories) is exceptionally fast, cost-effective which is capable of accomplishing the requirements of immense growth in data and storage. Despite the fact that it suffers by various faults, more specifically Write Disturbance Fault (WDF) and Read Disturbance Fault (RDF) has huge effect on system performance and reliability. Different algorithms are designed to identify specific kind of faults and the main drawback of all existing algorithm is redundancy (repeated March elements with write and read operations) it will increase the test complexity and time. Moreover, this repeated write and read operation will leads to endurance degradation. To circumvent this pitfall, a self-checking write circuit is adapted where the accuracy of every write operation is verified at the end of write cycle by means of a write verification signal in the write circuit (acts as in built read circuit). Hence, this self checking write method offers a combined “write and read” operation (wr) that detects the faulty write operation (main reason for read fault) and avoids the data corruption in memory system. To the best of our knowledge, we are the first one to propose March algorithm with “wr” element hence it is named as novel ‘March WR’ testing algorithm which detects WDF and RDF effectively with 4n test complexity which is very less than all other existing test algorithms. Thus it reduces 63.63% of the test time. Due to keen monitoring of each operation 100% fault coverage is achieved that results in enhanced performance and reliability.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Institutional subscriptions

Fig. 1
Fig. 2
Fig. 3
Fig. 4
Fig. 5
Fig. 6
Fig. 7

Similar content being viewed by others

References

  1. Amsinck, C. J., Di Spigna, N. H., Nackashi, D. P., & Franzon, P. D. (2005). Scaling constraints in nanoelectronic random-access memories. IEEE Transactions on Nanotechnology,16(3), 2251–2260.

    Article  Google Scholar 

  2. Liang, J., Yeh, S., Wong, S. S., & Wong, H.-S. P. (2012). Scaling challenges for the cross-point resistive memory array to Sub-10 nm node—An interconnect perspective. In Proceedings of the IEEE international memory workshop (pp. 61–64).

  3. Chung, A., Deen, J., Lee, J. S., & Meyyappan, M. (2010). Nanoscale memory devices. Nanotechnology,21(41), 412001.

    Article  Google Scholar 

  4. Fujisaki, Y. (2010). Current status of nonvolatile semiconductor memory technology. Japanese Journal of Applied Physics,49(10R), 100001.

    Article  Google Scholar 

  5. Ho, Y., Huang, G. M., & Li, P. (2009). Nonvolatile memristor memory: device characteristics and design implications. In Proceedings of the international conference on computer-aided design (pp. 485–490).

  6. Wang, C., & Baskiyar, S. (2015). Extending flash lifetime in secondary storage. Microprocessors and Microsystems,39(3), 167–180.

    Article  Google Scholar 

  7. Guo, Q., et al. (2013). AC-DIMM: associative computing with STT-MRAM. ISCA

  8. Lim, H., Lee, S., & Shin, H. (2016). Switching time and stability evaluation for writing operation of STT-MRAM crossbar array. IEEE Transactions on Electron Devices,63(10), 3914–3921.

    Article  Google Scholar 

  9. Nigam, A., Smullen, C. W. IV, Mohan, V., Chen, E., Gurumurthi, S., & Stan, M. R. (2011). Delivering on the promise of universal memory for spin-transfer torque ram (STT-RAM). In Proceedings of the 17th IEEE/ACM international symposium on low-power electronics and design (pp. 121–126). IEEE Press.

  10. Zhang, K. (2009). Embedded memories for nano-scale VLSIs (1st ed.). Berlin: Springer.

    Book  Google Scholar 

  11. Boukhobza, J., & Rubini, S. (2017). Emerging NVM: A survey on architectural integration and research challenges. ACM Transactions on Design Automation of Electronic Systems 23(2), Article 14, November 2017.

  12. Lee, B. C., et al. (2010). Phase change memory architecture and the quest for scalability. Communications of the ACM,53(7), 99–106.

    Article  Google Scholar 

  13. Zhou, P., Zhao, B., Yang, J., & Zhang, Y. (2009). “A durable and energy efficient main memory using phase change memory technology. ACM SIGARCH Computer Architecture News,37(3), 14–23.

    Article  Google Scholar 

  14. Noé, P., Vallée, C., Hippert, F., Fillot, F., & Raty, J.-Y. (2018). Phase-change materials for non-volatile memory devices: From technological challenges to materials science issues. Semiconductor Science and Technology,33, 013002.

    Article  Google Scholar 

  15. Chi, P., et al. (2016). PRIME: A novel processing-in-memory architecture for neural network computation in ReRAM-based main memory. In ISCA.

  16. Li, B., et al. (2015). Merging the interface: Power area and accuracy cooptimization for RRAM crossbar-based mixed-signal computing system. In Design automation conference (pp. 1–6).

  17. Ueki, M., et al. (2015). Low-power embedded ReRAM technology for IoT applications. In Symposium on VLSI technology digest (Vol. 108).

  18. Li, B., Xia, L., Gu, P., Wang, Y., & Yang, H. (2015). Merging the interface: Power area and accuracy co-optimization for RRAM crossbar-based mixed-signal computing system. In Proceedings of the 52nd annual design automation conference (pp. 13:1–13:6).

  19. Li, B., et al. (2015). RRAM-based analog approximate computing. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,34(12), 1905–1917.

    Article  Google Scholar 

  20. Chen, F. T., Chen, Y. S., Wu, T. Y., & Ku, T. K. (2014). Write scheme allowing reduced LRS nonlinearity requirement in a 3D-RRAM array with selector-less 1TNR architecture. IEEE Electron Device Letters 35(2), 223–225.

    Article  Google Scholar 

  21. Mozaffari, S. N., Tragoudas, S., & Haniotakis, T. (2015). Fast march tests for defects in resistive memory. In Nanoscale architectures (NANOARCH) 2015 IEEE/ACM international symposium (pp. 88–93). IEEE.

  22. Huang, P., Kang, J., Zhao, Y., Chen, S., Han, R., Zhou, Z., et al. (2016). Reconfigurable nonvolatile logic operations in resistance switching crossbar array for large-scale circuits. Advanced Materials,28(44), 9758–9764.

    Article  Google Scholar 

  23. Waldrop, M. M. (2016). The chips are down for Moore’s law. Nature News,530(7589), 144. https://doi.org/10.1038/530144a.

    Article  Google Scholar 

  24. Chen, Y. X., & Li, J. F. (2015). Fault modeling and testing of 1T1R memristor memories. In 33rd VLSI test symposium (VTS) (pp. 1–6). IEEE.

  25. Zhang, Y., Li, L., Zhonghai, L., Jantsch, A., Gao, M., Pan, H., et al. (2014). A survey of memory architecture for 3D chip multi-processors. Microprocessors and Microsystems,38(5), 415–430.

    Article  Google Scholar 

  26. Chen, B., Cai, F., Zhou, J., Ma, W., Sheridan, P., & Lu, W.D. (2015). Efficient in-memory computing architecture based on crossbar arrays. In Technical digestinternational electron devices meeting. Washington.

  27. Li, H., Li, K. S., Lin, C. H., Hsu, J. L., Chiu, W. C., Chen, M. C., Wu, T. T., Sohn, J., Eryilmaz, S. B., Shieh, J. M., & Yeh, W. K. (2016). Four-layer 3D vertical RRAM integrated with FinFET as a versatile computing unit for brain-inspired cognitive information processing. In Symposium on VLSI technology, (pp. 1–2).

  28. Liu, C., Hu, M., Strachan, J. P., & Li, H. H. (2017). Rescuing memristor-based neuromorphic design with high defects. In Proceedings of the 54th annual design automation conference (Vol. 87).

  29. Hu, M., et al. (2016). Dot-product engine for neuromorphic computing: Programming 1T1M crossbar to accelerate matrix-vector multiplication. In Design automation conference (pp. 1–6).

  30. Chen, C. Y., Shih, H. C., Wu, C. W., Lin, C. H., Chiu, P. F., Sheu, S. S., et al. (2015). RRAM defect modeling and failure analysis based on March test and a novel squeeze-search scheme. IEEE Transactions on Computers,64(1), 180–190.

    Article  MathSciNet  Google Scholar 

  31. Sribhuvaneshwari, H., & Suthendran, K. (2019). A novel March C2RR algorithm for nanoelectronic resistive random access memory (RRAM) testing. In VDAT 2018, CCIS 892, Singapore: Springer (pp. 578–589).

  32. Zidan, M. A., Fahmy, H. A. H., Hussain, M. M., & Salama, K. N. (2013). Memristor-based memory: The sneak paths problem and solutions. Microelectronics Journal,44(2), 176–183.

    Article  Google Scholar 

  33. Sun, W., Choi, S., & Shin, H. (2014). A new bias scheme for a low power consumption ReRAM crossbar array. Semiconductor Science and Technology, 31(8).

  34. Jung, M., et al. (2013). Design of a large-scale storage-class RRAM system. In ICS (pp. 103–114).

  35. Xu, C., et al. (2014). Architecting 3D vertical resistive memory for next-generation storage systems. In ICCAD (pp. 55–62). IEEE Press.

  36. Han, R., Huang, P., Zhao, Y., Chen, Z., Liu, L., Liu, X., et al. (2017). Demonstration of logic operations in high-performance RRAM crossbar array fabricated by atomic layer deposition technique. Nanoscale Research Letters,12, 37. https://doi.org/10.1186/s11671-016-1807-9.

    Article  Google Scholar 

  37. Sribhuvaneshwari, H. (2018). A novel feed forward back propagation technique for online resistive random access memory testing. International Journal of Pure and Applied Mathematics,118(12), 13909–13915.

    Google Scholar 

  38. Xia, L., et al. (2016). Switched by input: Power efficient structure for rram-based convolutional neural network. In Design automation conference (pp. 1–6).

  39. Sun, W., Choi, S., & Shin, H. (2016). A new bias scheme for a low power consumption ReRAM crossbar array. Semiconductor Science and Technology,31(8), 085009.

    Article  Google Scholar 

  40. Marinescu, M. (1982). Simple and efficient algorithms for functional RAM testing. In IEEE international test conference. IEEE Computer Society Press (pp. 236–239).

  41. van de Goor, A. J. (1991). Testing semiconductor memories, theory and practice. Chichester: Wiley.

    Google Scholar 

  42. Breuer, M. A., & Friedman, A. D. (1976). Diagnosis and reliable design of digital systems. Woodland Hills, CA: Computer Science Press.

    Book  Google Scholar 

  43. Suk, D. S., & Reddy, S. M. (1981). A March test for functional faults in semiconductor random-access memories. IEEE Transactions on Computers,C-30(12), 982–985.

    Article  Google Scholar 

  44. Harutunyan, G., Vardanian, V. A., & Zorian, Y. (2006). Minimal March test algorithm for detection of linked static faults in random access memories. In IEEE VLSI test symposium (pp. 120–125), Apr.–May 2006.

  45. Wan Hasan, W. Z., Othman, M., & Suparjo, B. S. (2006). A realistic march-12N test and diagnosis algorithm for SRAM memories. In Proceedings of the international conference on semiconductor electronics IEEE (pp. 919–923).

  46. Ghofrani, A., & Lastras-Montaño M.-A. (2015). A low-power variation-aware adaptive write scheme for access-transistor-free memristive memory. ACM Journal on Emerging Technologies in Computing Systems, 12(1), Article 3, July 2015.

  47. Jo, K.-H., Jung, C.-M., Min, K.-S., & Kang, S.-M. (2010). Self-adaptive write circuit for low-power and variation-tolerant memristors. IEEE Transactions on Nanotechnology,9(6), 675–678.

    Article  Google Scholar 

  48. Yu, S., Wu, Y., & Wong, H.-S. P. (2011). Investigating the switching dynamics and multilevel capability of bipolar metal oxide resistive switching memory. Applied Physics Letters,98(10), 103514.

    Article  Google Scholar 

  49. Yi, W., Perner, F., Qureshi, M. S., Abdalla, H., Pickett, M. D., Yang, J. J., et al. (2011). Feedback write scheme for memristive switching devices. Applied Physics A,102(4), 973–982.

    Article  Google Scholar 

  50. Alibart, F., Gao, L., Hoskins, B. D., & Strukov, D. B. (2012). High precision tuning of state for memristive devices by adaptable variation-tolerant algorithm. Nanotechnology,23(7), 075201.

    Article  Google Scholar 

  51. Benso, A., Bosio, A., Carlo, S. D., Natale, G. D., & Prinetto, P. (2005). March AB, March AB1: New march tests for unlinked dynamic memory faults. In Proceedings of the international test conference. IEEE, 8.

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to H. Sribhuvaneshwari.

Additional information

Publisher's Note

Springer Nature remains neutral with regard to jurisdictional claims in published maps and institutional affiliations.

Rights and permissions

Reprints and permissions

About this article

Check for updates. Verify currency and authenticity via CrossMark

Cite this article

Sribhuvaneshwari, H., Kannan, S. Enhanced test algorithm for nanoelectronic Resistive Random Access Memory testing using self check write scheme. Analog Integr Circ Sig Process 104, 145–155 (2020). https://doi.org/10.1007/s10470-019-01576-x

Download citation

  • Received:

  • Revised:

  • Accepted:

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s10470-019-01576-x

Keywords

Navigation