样式: 排序: IF: - GO 导出 标记为已读
-
Pressure-dependent multiplet-excitation energies of α-Al2O3:Cr3+ by the first-principles method Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-28 Harutaka Saito, Katsuhiro Suzuki, Kazunori Sato, Takao Kotani
We apply a method [Phys. Rev. B 108, 035141 (2023)], which can treat the multiplet excitations in the first-principles method, to a typical pressure indicator α-Al2O3:Cr3+. After optimizing the crystal structure under hydrostatic pressure from 0.0 to 35.0 GPa in the usual first-prinicple calculations, we obtain the multiplet energies in the method. That is, we conduct the exact diagonalization of the
-
HKUST-1 formation in solution influenced by laser-induced plasma at the early stage Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-28 Shota Chiba, Moriyuki Kanno, Hitoshi Muneoka, Tsuyohito Ito, Kazuo Terashima
A suspension containing the raw materials of HKUST-1, which is a metal-organic framework, is irradiated by a nanosecond-pulsed laser at 532 nm to generate plasma only at the early stage (5–30 min) of the formation process. Depending on the plasma treatment conditions, HKUST-1 begins forming after the laser-induced plasma generation is stopped. The formation of HKUST-1 can be represented by the Gualtieri
-
Sensitivity characteristics of a wave height sensor based on a MEMS piezoresistive cantilever and waterproof film Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-27 Takuto Hirayama, Hidetoshi Takahashi
This paper presents the frequency characteristics of a barometric pressure-sensing wave height sensor employing a MEMS piezoresistive cantilever and a micromesh waterproof film. The proposed sensor exhibited mechanical bandpass filter characteristics owing to air leakage around the cantilever and film. Consequently, high robustness can be achieved if the frequency is designed to match the wave range
-
A microfluidic sensor for continuously measuring membrane potential changes in interactions between taste substances and lipid polymer membranes Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-26 Yusuke Tahara, Shogo Kikuya, Takeshi Okada, Yuto Suzuki, Ryo Takigawa
To evaluate the taste changes experienced by humans over time, we developed a microfluidic sensor for continuously measuring membrane potential changes in interactions between taste substances and lipid polymer membranes. The performance of the proposed sensing device was evaluated by determining the dependence on concentration using tannic acid (astringency substance) solutions and measuring the selectivity
-
Numerical analysis of the phased array imaging with a stacked plate buffer Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-23 Mingqian Xia, Takahiro Hayashi, Naoki Mori
This paper discusses the imaging with a phased array transducer attached with a stacked thin plate buffer using the calculations of wave propagation. The buffer is designed to guarantee the performance of phased array transducer based on the properties of dispersion nature of the S0 mode of Lamb wave. First, numerical analyses showed the limitations of the imaging with a stacked plate buffer due to
-
Silicon photonics hybrid wavelength tunable laser diode using curved directional couplers with 145 nm tunable range Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-23 Kissho Iwanaga, Yuga Tomimura, Tomohiro Kita
The expansion of the wavelength tunable range of wavelength tunable laser diodes is required in optical communication and sensing. Curved directional couplers (DCs) exhibit smaller power coupling efficiency fluctuations than conventional straight DCs, which reduces the wavelength sensitivity of double-ring wavelength filters. In this study, we fabricated a hybrid wavelength tunable laser diode comprising
-
0.36 μW/channel capacitively-coupled chopper instrumentation amplifier in EEG recording wearable devices for compressed sensing framework Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-23 Kenji Mii, Daisuke Kanemoto, Tetsuya Hirose
We evaluated the effectiveness of a low-current-consumption amplifier for a compressed-sensing (CS) framework in wearable electroencephalography (EEG) recording devices. The amplifier uses a capacitively coupled chopper instrumentation amplifier (CCIA) architecture which is often used for low-noise amplifier (LNA) to achieve low consumption and low-noise characteristics. According to measurements of
-
Simulation of a physical reservoir made of a Ag2S islands network Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-23 Yusuke Murase, Tsuyoshi Hasegawa
Recently, a physical reservoir operation utilizing atomic switch technologies was demonstrated. Atomic switch operates by controlling the formation and annihilation of a metal filament between two electrodes using solid-state electrochemical reactions. In this study, we simulated the operation of an atomic switch-based reservoir by arranging modeled atomic switches in a network. The aim of this study
-
Analysis of Sezawa mode Rayleigh-type SAWs on ScAlN film/floated electrode layer/ScAlN film/high velocity substrates Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-23 Kei Fukunaga, Masashi Suzuki, Shoji Kakio
Surface acoustic wave (SAW) devices for frequency filters are increasingly required to have high performance in future mobile communications. The coupling factors K 2 for Rayleigh-type SAW (RSAW) on ScAlN film/high velocity substrate structures can be enhanced by introducing polarization-reversal structure into the ScAlN film. However, the growth of polarization-reversal ScAlN films with high crystal
-
Fabrication of engineered microplastics in an epoxy-based polymer (SU-8) by means of penetrating protons Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-23 Nitipon Puttaraksa, Kunpisit Kosumsupamala, Hironori Seki, Sumito Nagasawa, Hiroyuki Nishikawa
Engineered microplastics are vital mimics of natural microplastics for application in many research areas aimed at solving environmental pollution. Here, we demonstrate the fabrication of engineered microplastics using 1 MeV protons with a full penetration depth to effectively detach engineered microplastics from a substrate. The microplastic patterns in this work were made in an epoxy-based polymer
-
Analysis of tunneling probability in heavily doped 4H-SiC Schottky barrier diodes based on complex band structure considering barrier potential Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Yutoku Murakami, Sachika Nagamizo, Hajime Tanaka, Nobuya Mori
The tunneling probability in heavily doped 4H-SiC Schottky barrier diodes (SBDs) is analyzed based on the empirical pseudopotential method (EPM). A method of calculating the tunneling probability within the WKB approximation using the EPM electronic states of bulk 4H-SiC has been reported. In the present study, to investigate the validity of this method, the tunneling probability is calculated by connecting
-
Three-dimensional microfluidic cell culture device that generates chemical and oxygen gradients Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Suguru Sugiyama, Hirai Sudo, Kosuke Tsukada
Gas gradients (e.g. oxygen and nitric oxide) and chemical gradients (e.g. cytokines) are determinants of biological functions and pathological mechanisms, but these have not been replicated in vitro. We developed a cell culture microfluidic device that comprises three layers (gas channel, fluid, and cell culture layer) and can generate stable chemical and oxygen gradients simultaneously or independently
-
CO2 desorption using ultrasound at low temperature from CO2-loaded amine solution under pressure conditions Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Jie Ren, Tomoka Fujita, Hirokazu Okawa, Takahiro Kato
Ultrasound can effectively desorb CO2 from dissolved CO2 gas [CO2 (aq)] in solution at low temperatures. In this study, three various classes (primary, secondary, and tertiary) of amine solutions were used as a CO2 absorbent for loading CO2 under 0.1 and 0.5 MPa. The effectiveness of ultrasound irradiation and stirring to desorb CO2 from CO2-loaded amine solution was evaluated at around 25 °C. The
-
Reactive sputtering of ferroelectric AlScN films with H2 gas flow for endurance improvement Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Si-Meng Chen, Takuya Hoshii, Hitoshi Wakabayashi, Kazuo Tsutsui, Edward Yi Chang, Kuniyuki Kakushima
The impact of H2 gas flow in the reactive sputtering process to 60 nm-thick ferroelectric Al1−x Sc x N films is investigated with x of 0.26 (high-Sc) and 0.12 (low-Sc). Al1−x Sc x N films exhibit clear ferroelectric switching, confirming the robustness against reducing ambient. The dielectric constants (ε i) as well as the leakage current decrease, and the breakdown field (E BD) increases with H2 flow
-
Formation of Al-incorporated SiC thin films by chemical vapor deposition of vinylsilane mixed with trimethylaluminum Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Yuuki Tsuchiizu, Koki Ono, Kenichi Uehara, Noriyuki Taoka, Shigeo Yasuhara, Wakana Takeuchi
We demonstrated the synthesis of Al-incorporated amorphous SiC thin films with a vinylsilane precursor, which were grown at a growth temperature of 800 °C using a hot-wall CVD system with a simultaneous supply of vinylsilane and trimethylaluminum (TMA). The SiC films were characterized by scanning electron microscopy, X-ray photoelectron spectroscopy, Fourier-transform IR spectroscopy, and X-ray diffraction
-
Improvement of cell transistors in high-k/metal-gate peripheral transistors technology for high-performance graphic memories Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Dongkyu Jang, Jieun Lee, Daekyum Kim, Doo Hee Hwang, Kyoungrock Nho, Inkyum Lee, Shindeuk Kim, Taehoon Park, Hyeongsun Hong
We investigated characteristics and reliabilities of cell transistors (Cell Tr) in graphics double data rate 7 (GDDR7) DRAM with high-k/metal gate (HKMG) peripheral transistors (Peri Tr), and we suggest the robust and reliable Cell Tr for the HKMG peripheral (Peri) scheme. In the latest graphic DRAMs, the HKMG Peri scheme is equipped to achieve the highest speed at the lowest power consumption, so
-
Superior device characteristics of needle-contact Ge Schottky barrier diodes for low-power applications Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-22 Riku Ando, Yoriko Suda, Narihiko Maeda
We measured and compared the I–V characteristics of needle- and junction-contact Ge Schottky barrier diodes (SBDs). The threshold voltage (V th) of I–V characteristics in needle-contact SBDs was revealed to be lower than that of junction-contact SBDs, which resulted in higher current in the low-voltage region. This indicates that needle-contact SBDs are more suitable for low-power applications. The
-
Switching characteristics of MgO-based MTJ with intermediate state Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-21 Yuya Miyazaki, Chihiro Watanabe, Junichi Tsuchimoto, Hiroyuki Hosoya, Yoshiteru Amemiya, Akinobu Teramoto
The resistance change of magnetic tunnel junctions (MTJs) is used to store digital information in spin-transfer-torque magnetoresistive random-access memory. In some MTJs, intermediate (IM) states occur between two stable resistance states just after the application of a switching pulse. In this study, the effect of IM states on switching is investigated. IM states increase the switching voltage, and
-
Bulk fin-type field-effect transistor-based capacitorless dynamic random-access memory with strong resistance to geometrical variations Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-21 Min Seok Kim, Sang Ho Lee, Jin Park, Ga Eon Kang, Jun Hyeok Heo, So Ra Jeon, Seung Ji Bae, Jeong Woo Hong, Jaewon Jang, Jin-Hyuk Bae, Sin-Hyung Lee, In Man Kang
In this study, a bulk fin-type FET (FinFET)-based capacitorless one-transistor dynamic random-access memory (1T-DRAM) was proposed. The fabrication process of the proposed 1T-DRAM was similar to that of a typical junctionless bulk FinFETs, except that the p-type doped body fin region operated as a charge storage region. The effects of the geometrical variations, such as the fin angle (θ fin) variation
-
Dissolution dynamics of poly(4-hydroxystyrene-co-methacrylic acid) in tetraalkylammonium hydroxide aqueous solutions Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-21 Yutaro Iwashige, Kyoko Watanabe, Yuko Tsutsui Ito, Takahiro Kozawa, Kazuo Sakamoto, Makoto Muramatsu
Resist materials and their lithography processes are essential for achieving further miniaturization in semiconductor microfabrication. In the sub-20 nm half-pitch region, the development process becomes particularly important due to the occurrence of stochastic defects (pinching and bridging). However, the details of dissolution dynamics are still unclear. In this study, we investigated the dissolution
-
Fiber-tip temperature sensing probe based on standard Brillouin optical correlation-domain reflectometry with sinusoidal modulation Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-21 Kanon Toda, Hiroshi Takahashi, Yusuke Koshikiya, Yosuke Mizuno
We develop a simplified approach for localized temperature measurement at the fiber tip, utilizing the standard configuration of Brillouin optical correlation-domain reflectometry (BOCDR) with sinusoidal modulation of optical frequency. This method eliminates the need for specialized modifications to the sensing fiber, thereby enhancing cost-efficiency. Compared to the noise-modulated low-coherence
-
Biochips to measure ultraviolet light absorption by leukemia cells Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-20 Shunko A. Inada
During the treatment of leukemia, side effects create a great burden for patients. Therefore, new therapies to replace conventional chemotherapy and hematopoietic stem cell transplantation are highly desirable. In a previous study, I found that UV irradiation at 365 nm induced apoptosis in 98% of leukemia (Jurkat T) cells. To determine the most suitable wavelength for such treatments, it is necessary
-
Electrical evaluation of copper damascene interconnects based on nanoimprint lithography compared with ArF immersion lithography for back-end-of-line process Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-20 Kenta Suzuki, Sung-Won Youn, Tetsuya Ueda, Hiroshi Hiroshima, Yoshihiro Hayashi, Masaki Ishida, Tomomi Funayoshi, Hiromi Hiura, Noriyasu Hasegawa, Kiyohito Yamamoto
Nanoimprint lithography (NIL) is promising for the processing of dual damascene structures fabricated in back-end-of-line layers, and initial development began with a simple single-level process to evaluate NIL’s suitability. In this work, a test element group (TEG) pattern with a 70 nm half-pitch was selected, and copper (Cu) filling and chemical-mechanical polishing were performed after NIL pattern
-
Mechanism of photoresponsivity reduction in BaSi2 epitaxial films by post-annealing at moderate temperatures Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-20 Sho Aonuki, Yurika Haku, Shunsuke Narita, Kaori Takayanagi, Ai Iwai, Kaoru Toko, Takashi Suemasu
Photoresponsivity is an important measure for applications as light absorbing layers because it is proportional to carrier lifetime. Previous studies have shown that the photoresponsivity of undoped BaSi2 films increases by more than 10 times by post-annealing (PA) at 1000 °C, but decreases by moderate-temperature PA. Such BaSi2 films are compressively strained in the normal direction, regardless of
-
Infrared laser deposition of high-quality CsBH4 epitaxial thin films stable under atmospheric conditions Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Hibiki Murakami, Kenichi Kaminaga, Rintaro Kimura, Shingo Maruyama, Yuji Matsumoto
We have demonstrated the growth of CsBH4 (001) epitaxial thin films utilizing an IR laser deposition technique. The thin film exhibited coherent growth with a tensile strain from the substrate, resulting in its crystallinity superior to other kinds of metal borohydride thin films reported in earlier studies. Moreover, the notable chemical stability of CsBH4 enabled surface morphological and optical
-
Investigation of K2Cu(Cl,Br)3 crystalline scintillators Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Keishi Yamabayashi, Kai Okazaki, Daisuke Nakauchi, Takumi Kato, Noriaki Kawaguchi, Takayuki Yanagida
K2Cu(Cl,Br)3 crystals were prepared by the slow cooling method and evaluated for photoluminescence (PL) and scintillation properties. PL emission and scintillation peaks due to the recombination of excitons were observed at 400 nm. The PL quantum yields were estimated to be 61.0% for K2CuCl3, 72.7% for K2Cu(Cl0.5,Br0.5)3, and 75.8% for K2CuBr3, respectively. The scintillation decay curves were approximated
-
Nucleation and grain growth in low-temperature rapid solid-phase crystallization of hydrogen-doped indium oxide Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Xiaoqian Wang, Yusaku Magari, Mamoru Furuta
Nucleation and grain growth are discussed as a means of clarifying the mechanism of the rapid solid-phase crystallization (SPC) process of H2-doped amorphous indium oxide (InO x :H) films. H2-doping in InO x :H films reduced nucleation density at 250 °C from 4.1 to 1.1 μm−2, resulting in an increase in grain size and Hall mobility of the polycrystalline (poly)-InO x :H films. Lateral growth rate from
-
Surface structural analysis of SrF2(111) using low-energy atom scattering spectroscopy Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Hiroaki Fukuta, Goon Tan, Tomoaki Oga, Akifumi Matsuda, Mamoru Yoshimoto, Hiroto Matsuura, Kenji Umezawa
We studied the surface structure of SrF2(111) using low-energy atom scattering spectroscopy. For the spectroscopy, we used pulsed 3 keV 4He° and 3 keV 20Ne0 beams as incident particles and detected 180° backscattered particles using a microchannel plate. We compared the experimental results with simulations employing three types of surface atomic structural models: F–Sr–F, Sr–F–F, and F–F–Sr Our results
-
Chemical mechanical polishing for indium bond pad damascene processing Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Karl Ceulemans, Ehsan Shafahian, Herbert Struyf, Katia Devriendt, Steven Deckers, Nancy Heylen, Jaber Derakhshandeh
We investigated chemical mechanical polishing (CMP) of indium, with the goal of obtaining indium bond pads for later cryo-3D integration of quantum computing-related chips, through bonding between these bond pads and indium bumps. Higher removal rates were obtained with soft CMP pads than with hard pads. The latter led to deep scratching, while this effect was much more limited for soft pad CMP. On
-
Particle elastic modulus analysis of waterborne polyurethane nanoparticles by ultrasound scattering method Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Kaito Tajika, Tomohisa Norisuye
When water is dropped gradually to an acetone solution of concentrated polyurethane containing ionic functional groups, a phase inversion occurs and nanoparticles of waterborne polyurethane (WPU) are formed. Evaporation of water from such aqueous particle suspensions yields polyurethane sheets, but the properties of these sheets are different from those obtained by evaporation of solvent from WPU acetone
-
Measurement of nonlinear three-wave interaction using shear-vertical-wave point-focusing electromagnetic acoustic transducers Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Takashi Takishita, Hiroyuki Takamatsu, Hirotsugu Ogi
We developed a method of nonlinear three-wave interaction using shear-vertical-wave point-focusing electromagnetic acoustic transducers (SV-wave PF-EMATs). EMATs can excite and detect ultrasonic waves without couplants through interaction between electromagnetic fields and solid mechanics and are generally unsuitable for the nonlinear ultrasound measurement due to their low signal conversion efficiency
-
Study and fabrication of rain triboelectric nanogenerator based on laser-induced graphene interdigital electrode Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-19 Yun-Tung Huang, Cheng-Chun Huang, Ching-Yuan Su, Yao-Chuan Tsai
In this work, a rain triboelectric nanogenerator (R-TENG) based on a laser-induced graphene (LIG) interdigital electrode was developed to harvest rain energy. The R-TENG comprises a LIG interdigital electrode on a polymer substrate with a hydrophobic Polydimethylsiloxane (PDMS) layer as a protective layer. When raindrops fall onto the surface of the PDMS layer and move between two adjacent interdigital
-
Imaginary-shifted expression of Gaussian beams as rigorous solutions of Maxwell equations Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-17 Gen-ichi Hatakoshi, Shojiro Kawakami
Analytical and rigorous solutions of Maxwell equations for Gaussian beams have been derived, without using paraxial approximation. Vectorial formulation of high-order Gaussian beams including Laguerre–Gaussian-like beams and optical vortices were obtained. These analytical solutions are useful and effective for understanding the beam characteristics.
-
Anti-relaxation of tensile lattice strain in Si-embedded Ge strip structure for photonic device applications Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-16 Joshua Chombo, Mohd Faiz Bin Amin, Jose A. Piedra-Lorenzana, Takeshi Hizawa, Keisuke Yamane, Mingjun Jiang, Donghwan Ahn, Kazumi Wada, Yasuhiko Ishikawa
This paper reports an anti-relaxation of tensile lattice strain in a narrow Ge strip epitaxially grown on Si by CVD. In an ordinary Ge mesa strip as narrow as 1 μm or below, an in-plane tensile strain as high as 0.2% due to the thermal expansion mismatch with the Si substrate is relaxed by edge-induced relaxation. Such a relaxation is significantly prevented by embedding the Ge strip entirely in Si
-
Low quiescent current LDO with FVF-based PSRR enhanced circuit for EEG recording wearable devices Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-16 Kenji Mii, Daisuke Kanemoto, Tetsuya Hirose
This paper presents a low quiescent current low-dropout regulator (LDO) with an auxiliary amplifier, flipped voltage follower (FVF)-based power supply rejection ratio enhanced circuit (FBPEC) for electroencephalogram (EEG) recording devices. The FBPEC comprises a FVF filter, current mirror, and common-source amplifier. The FBPEC exploits the characteristics of FVF filter to reduce the current consumption
-
Strain and optical characteristics analyses of three-dimentional self-ordered multilayered SiGe nanodots by photoluminescence and Raman spectroscopy Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-16 Yuta Ito, Ryo Yokogawa, Wei-Chen Wen, Yuji Yamamoto, Takuya Minowa, Atsushi Ogura
The strain state, optical properties, and band structure of the self-ordered multilayered silicon-germanium (SiGe) nanodots, which are staggered and dot-on-dot alignment and embedded by Si spacer, were evaluated by Raman spectroscopy and low-temperature photoluminescence (PL). These results suggest that the compressive strain applied to the staggered nanodots is smaller than that of the dot-on-dot
-
Fabrication of a wider bandgap θ-Al2O3 by oxidation of ultrathin AlN films for leakage current reduction Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-16 Yusuke Nakajima, Akira Takashima, Masaki Noguchi, Tatsunori Isogai
Aluminum oxide (Al2O3) films were fabricated through the oxidation of ultrathin aluminum nitride (AlN) films. The fabricated films exhibited a leakage current reduction compared to that of conventional Al2O3 films fabricated using atomic layer deposition. This reduction in the leakage current can be attributed to the formation of θ-Al2O3, which has a wider-bandgap than γ-Al2O3. The formation of θ-Al2O3
-
Effect of annealing temperature on Eu2+ and Eu3+ ratios in AlN:Eu thin films Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-16 Kazuto Miyano, Yingda Qian, Asuka Ishizawa, Shinichiro Kaku, Xinwei Zhao, Mariko Murayama
Eu-doped aluminum nitride (AlN:Eu) thin films were fabricated and characterized in a way of white light generation. AlN exhibits blue luminescence originating from defect levels. Furthermore, Eu2+ demonstrates green luminescence, while Eu3+ emits red light. Consequently, white luminescence is anticipated in Eu-doped AIN thin films. The concept is to control the ratio of Eu2+/Eu3+ in the thin film to
-
Development of calculation model for designing temperature characteristics of double-layered thickness-shear resonator Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Yuji Ohashi, Taisei Noguchi, Yuui Yokota, Rikito Murakami, Shunsuke Kurosawa, Kei Kamada, Takahiko Horiai, Akihiro Yamaji, Masao Yoshino, Akira Yoshikawa
A calculation model for predicting the temperature characteristics of the double-layered resonator (DRL) was developed by using the total strain ratio including the influence of the waves reflected at the bonding boundary. The validity of the model proposed was examined from the comparison between the measured and calculated results for a DRL specimen consisting of 129.55°Y- and 0°Y-quartz substrates
-
Study and fabrication of flexible triboelectric pulse tactile sensor based on laser-induced graphene Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Ching Hsieh, Cheng-Chun Huang, Ching-Yuan Su, Yao-Chuan Tsai
In the past, tactile sensors were rigid and difficult to integrate with flexible devices. Recently, flexible triboelectric tactile sensors have attracted widespread attention. The flexibility of these triboelectric tactile sensors makes them suitable for various applications across different fields such as patient monitoring and human-machine interaction. In this study, a flexible triboelectric pulse
-
Trench-filling heteroepitaxy of [100]-oriented germanium arrays on (001) silicon substrate Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Takumi Maeda, Kota Kato, Jose A. Piedra-Lorenzana, Takeshi Hizawa, Tetsuya Nakai, Yasuhiko Ishikawa
Trench-filling heteroepitaxy of germanium (Ge) on (001) silicon (Si) substrate is studied toward normal-incidence/free-space NIR photodetectors, where micron-thick Ge is prepared with a large surface coverage and in a growth time as short as possible. Arrayed trenches as deep as 1 μm are patterned on (001) Si in the [100] direction, intentionally deviating from the ordinary [110] direction. The molecular
-
SAW velocity reduction and spurious modes suppression on the layer structure Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Richeng Hu, Zhaohui Wu, Xinzhi Li, Bin Shi, Jingfu Bao, Ken-Ya Hashimoto
This paper discusses the use of the layered structure for the SAW velocity reduction. First, it is shown that the insertion of SiO2 is crucial between the piezoelectric layer and the base substrate to keep a large electromechanical coupling factor even when the SAW velocity is reduced. It is also shown that the use of a high-velocity substrate such as Si is also crucial for bringing spurious resonances
-
Estimation of the composition ratio of two contents filled in an elastic thin tube through laser-diode-based photoacoustic measurements Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Kun Wang, Yuji Wada, Kentaro Nakamura
Photoacoustic imaging is considered useful for evaluating the effects of treatment because it has a good resolution to capture minute vascular lesions and changes in the progression of atherosclerosis, which is difficult to detect with conventional imaging methods. In this study, the authors prepared a thin silicone tube filled with a mixture of red ink and olive oil as a model that mimics arteriosclerosis
-
Two-dimensional finite difference-time domain simulation of moving multipole sources Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Takao Tsuchiya
In this paper, the implementation of a moving multipole sound source in the two-dimensional (2D) finite difference-time domain method is described. The fundamental solution of the moving multipole source is theoretically derived by spatial differentiation of the fundamental solution of a moving monopole source in the 2D field. It was found theoretically that the directivity of a moving multipole source
-
Study on dopant activation and EOT impact in HKMG stacks using microwave annealing with different frequencies Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Pandi Divya, Shih Syun Chen, Wen Hsi Lee, Y. L. Wang
In this paper, we have examined the dopant activation levels in various materials (Si, SiGe, and Ge) annealed using two different microwave annealing (MWA) frequencies, 2.45 GHz and 5.8 GHz, with rapid thermal annealing serving as a comparative counterpart. The aim is to identify a material-specific annealing method that minimizes the high thermal budget typically required in the annealing process
-
Magnetic structures and magnetic anisotropy of Mn3−x Fe x Sn studied by first-principles calculations Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Katsunori Tagami, Takahisa Ohno, Jun Nara, Mamoru Usami
Aiming at clarifying the structures and magnetic anisotropies of kagome Mn3−x Fe x Sn crystal over the wide range of x, we theoretically investigate the x-dependence of lattice parameters and bond lengths of their six magnetic phases by the first-principles calculations, and discuss their stabilities. Under the virtual crystal approximation at the Mn/Fe sites with a composition increment of Δx = 0
-
Characteristics of the single-electron conduction properties of randomly distributed metal nanodot arrays Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Takayuki Gyakushi, Ikuma Amano, Ryota Tanizawa, Atsushi Tsurumaki-Fukuchi, Masashi Arita, Yasuo Takahashi
Multi-dot single-electron devices (SEDs) have been fabricated using very thin Fe films by vacuum deposition on thermally oxidized or sputter-deposited SiO2 substrates. Although the SEDs fabricated on the two substrates showed very different conductance, Coulomb blockade (CB) oscillation clearly appeared in certain Fe thickness ranges for both cases. The CB oscillation changed from complex to simple
-
Printing pressure optimization in reverse offset printing for micrograting fabrication Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-15 Hayato Komatsu, Yasunori Takeda, Yi-Fei Wang, Ayako Yoshida, Tomohito Sekine, Shizuo Tokito
Among the various printing technologies available, reverse offset printing is the most promising for transferring fine patterns. This study reports the experimental results of interfacial adhesion and elastic deformation of a blanket during the printing process to demonstrate the fabrication of a high-resolution silver thin-film pattern. Additionally, a silicon wafer was used as the printing template
-
Research on tactile sensation by physical reservoir computing with a robot arm and a Ag2S reservoir Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Kaiki Yoshimura, Tsuyoshi Hasegawa
In recent years, physical reservoir computing has attracted much attention because of its low computational cost and low power consumption. In terms of social implementation of artificial intelligence, physical reservoir has a potential to meet the request, such as the need for AI robots to process information related to tactile sensation. It has been reported that a Ag2S polycrystalline thin film
-
Demonstration of multi-point stimulation with AC-driven CMOS chips for retinal prosthesis Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Yuki Nakanishi, Wisaroot Sriitsaranusorn, Takaya Hattori, Kuang-Chih Tso, Kenzo Shodo, Yasuo Terasawa, Yoshinori Sunaga, Hironari Takehara, Makito Haruta, Hiroyuki Tashiro, Kiyotaka Sasagawa, Jun Ohta
We demonstrated a multi-point stimulation system using CMOS chips designed for distributed implantable retinal prostheses. The CMOS chip integrates current sources and electrode selection circuits. This integration enables stimulation current control from multiple electrodes with minimal wires. In this study, we constructed a validation system with 49 electrodes capable of visually observing stimulation
-
Surface oxide ion conduction of BaCe0.5Pr0.3Y0.2O3−δ thin film with complex mixed valence states Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Go Notake, Takemasa Kadowaki, Minami Tani, Tohru Higuchi
Solid oxide fuel cells (SOFCs), which operate in the medium temperature range from 300 °C to 500 °C, are effective in shortening startup time and reducing fuel gas. In order to realize a practical electrolyte with high oxide ion conductivity, we have investigated the ionic conductivity of c-axis oriented BaCe0.5Pr0.3Y0.2O3−δ (BCPY) thin films with complex mixed valence states prepared on Al2O3 (0001)
-
Determining longitudinal- and shear-wave velocities in Japanese radish, watermelon and potato Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Pak-Kon Choi, Takashi Ikeda
Longitudinal- and shear-wave velocities were determined for Japanese radish, watermelon and potato. Pulsed waves propagating in cylindrically shaped specimens were detected, and their phase differences obtained in specimens of different lengths yielded phase velocities in the frequency range 0.4–8 kHz at 27 kHz. The Pochhammer–Chree dispersion relation was applied to analyze the fundamental and second
-
Performance improvement in reservoir computing by using HfZrO2 FeFETs through operating voltage optimization Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Shin-Yi Min, Kasidit Toprasertpong, Eishin Nako, Ryosho Nakane, Mitsuru Takenaka, Shinichi Takagi
We have investigated how the parameters of an input gate voltage (V g) waveform and a drain voltage (V d) impact the performance of reservoir computing (RC) using a Hf0.5Zr0.5O2 ferroelectric FET (FeFET). The RC performance is maximized by the high swing amplitude of the V g and the most symmetrical polarization switching condition in the triangular-shaped input waveform, obtained by the center V g
-
A low-temperature photoresist-based film-profile engineering scheme for fabricating bottom- and double-gated indium–gallium–zinc oxide TFTs Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Ping-Che Liu, Po-Jung Lin, Yu-Chi Chen, Chien-Wei Chen, Chi-Chung Kei, Pei-Wen Li, Horng-Chih Lin
We proposed a novel low-temperature (<110 °C) process scheme based on the film-profile engineering technique for fabricating indium–gallium–zinc oxide thin-film transistors (TFTs) with both bottom-gated (BG) and double-gated (DG) configurations. An organic photoresist (PR) suspended bridge is constructed to shadow the depositing species during the deposition processes of the bottom gate-oxide, channel
-
Study on stress in trench structures during silicon IGBTs process-oxidation Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-14 Bozhou Cai, Jiuyang Yuan, Yoshiji Miyamura, Wataru Saito, Shin-ichi Nishizawa
In silicon insulated gate bipolar transistors, the trench gate structure is used to achieve smaller cell size and lower ON resistance, and thereby reduces energy loss. However, the thermal process can cause large stress near the trench and sometimes degrades device performance. This study proposed a three-dimensional model of a silicon chip with trench structures to analyze the stress distribution
-
Crystal growth, luminescence, and scintillation properties of Er-doped La2Hf2O7 single crystal Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-12 Naomoto Hayashi, Yuui Yokota, Takahiko Horiai, Kohei Yamanoi, Masao Yoshino, Akihiro Yamaji, Rikito Murakami, Takashi Hanada, Hiroki Sato, Yuji Ohashi, Shunsuke Kurosawa, Kei Kamada, Nobuhiko Sarukura, Akira Yoshikawa
The growth of Er-doped La2Hf2O7 (LHO:Er) single crystals with a high mp of 2413 °C was achieved by the melt-growth method using a tungsten (W) crucible. Polished LHO:Er specimens were obtained from the as-grown crystals. The full width at half maximum of the X-ray Rocking curve on the (222) diffraction peak was 599 arcsec, and the crystals have relatively low crystallinity. The photoluminescence spectra
-
Asymmetric transition of electrical resistance in an all-solid-state redox device with Fe3O4 and Li-ion electrolyte thin films for physical reservoir computing Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-12 Wataru Namiki, Takashi Tsuchiya, Daiki Nishioka, Tohru Higuchi, Kazuya Terabe
In recent years, ion-gating devices have been used in artificial neuromorphic computing and achieved high performance for time-series data processing. However, the origin of this performance still needs to be clarified. In this study, we fabricated an all-solid-state redox device with functional material Fe3O4 and Li-ion conducting solid electrolytes, and the transient response of the electrical resistance
-
Great enhancement of sensitivity for SARS-CoV-2 detection by integrated graphene FET biosensor using ζ potential modulator Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-12 Kaori Yamamoto, Natsuki Sato, Kiyoji Sakano, Mamiko Yano, Eriko Ohnishi, Takao Ono, Yasushi Kanai, Shota Ushiba, Naruto Miyakawa, Shinsuke Tani, Masahiko Kimura, Yohei Watanabe, Koichi Inoue, Hidekazu Tanaka, Kazuhiko Matsumoto
By modulating a ζ potential of graphene FET (G-EFT), the sensitivity of G-FET could be enhanced than that without modulation. Therefore, 1 × 107 FFU ml−1 SARS-CoV-2 was detected using G-FET modified with the ζ potential modulator which is the cation polymer with the positive charge. This method is based on the relationship between the surface charge and the sensitivity, in which the highest sensitivity
-
Monolithic fully-controlled HEMT bidirectional power switch with merged Schottky barrier diodes and p-GaN gate transistors for ultra-low turn-on voltage and high threshold voltage Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-12 Guoliang Peng, Wei Mao, Shihao Xu, Cui Yang, Yue Peng, Longyang Yu, Yachao Zhang, Tao Zhang, Shenglei Zhao, Chunfu Zhang, Jincheng Zhang, Yue Hao
A monolithic fully-controlled high electron mobility transistor (HEMT) bidirectional power switch (FC-HEMT BPS) is studied and implemented for ultra-low turn-on voltage and high threshold voltage. The alternately embedded recess Schottky barrier diodes with p-GaN gate HEMTs in the common active region is a distinctive feature of the proposed device. The FC-HEMT BPS is a sophisticated device with two
-
Compact thermally stable high voltage FinFET with 40 nm tox and lateral break-down >35 V for 3D NAND flash periphery application Jpn. J. Appl. Phys. (IF 1.5) Pub Date : 2024-02-12 A. Spessot, P. Matagne, H. Arimura, J. Ganguly, R. Ritzenthaler, J. Bastos, R. Sarkar, E. Capogreco, Y. Chen, N. Horiguchi
We propose for the first time a dedicated FinFET technology with specific optimization for tox >40 nm and lateral breakdown >35 V to replace the conventional planar high voltage transistors in the 3D NAND Flash periphery. We show significant current increase (>x2) and area saving per footprint, solving one of the key bottlenecks of future 3D NAND nodes. Fabrication of thermally stable prototypes is