样式: 排序: IF: - GO 导出 标记为已读
-
Resolution enhancement with source-wavelength optimization according to illumination angle in optical lithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-11-01 Manabu Hakko, Kanji Suzuki
Background: To increase the resolution and depth of focus (DOF) of flat panel display (FPD) exposure systems, off-axis illumination (OAI) conditions are used extensively. OAI using narrowband wavelength illumination has been studied sufficiently. In contrast, new techniques that consider broadband wavelength illumination are needed because the effects of OAI differ between broadband and narrowband
-
Rayleigh or Abbe? Origin and naming of the resolution formula of microlithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-11-01 Anthony Yen
We review the history in connection with the resolution formula of microlithography and argue that it was Abbe rather than Rayleigh who definitively stated the 0.5λNA resolution limit for the minimum pitch first, using an approach more relevant to projection imaging, and hence, this expression should be more appropriately referred to as the Abbe formula for the resolution of a projection imaging system
-
JM3 is Gone, Long Live JM3! J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-10-01 Harry J. Levinson, Hans Zappe
In this editorial, the co-editors-in-chief outline the journal’s new direction.
-
Direct comparison of line edge roughness measurements by SEM and a metrological tilting-atomic force microscopy for reference metrology J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-10-01 Ryosuke Kizu, Ichiko Misumi, Akiko Hirai, Satoshi Gonda
Background: Conventional scanning electron microscopy (SEM) that is used for 2D top-view metrology, a classical line edge roughness (LER) measurement technique, is incapable of measuring 3D structures of a nanoscale line pattern. For LER measurements, SEM measurement generates a single line-edge profile for the 3D sidewall roughness, although the line-edge profile differs at each height in the 3D sidewall
-
Particle and pattern discriminant freeze-cleaning method J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-10-01 Kei Hattori, Daisuke Matsushima, Kensuke Demura, Masaya Kamiya
Background: Although the wet cleaning process has been widely used in semiconductor device manufacturing due to its convenience, it faces theoretical limits. That is, when the size of the objected particle is smaller than 100 nm, it is buried in the stagnant layer where there is substantially no fluid flow. Aim: Only small particles below the stagnant layer (<100 nm) is removed without any damage to
-
Perspectives and tradeoffs of absorber materials for high NA EUV lithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-10-01 Andreas Erdmann, Hazem Mesilhy, Peter Evanschitzky, Vicky Philipsen, Frank Timmermans, Markus Bauer
Next-generation extreme ultraviolet (EUV) systems with numerical apertures of 0.55 have the potential to provide sub-8-nm half-pitch resolution. The increased importance of stochastic effects at smaller feature sizes places further demands on scanner and mask to provide high contrast images. We use rigorous mask diffraction and imaging simulation to understand the impact of the EUV mask absorber and
-
High-power EUV lithography: spectral purity and imaging performance J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-09-01 Mark van de Kerkhof, Fei Liu, Marieke Meeuwissen, Xueqing Zhang, Muharrem Bayraktar, Robert de Kruif, Natalia Davydova
With the introduction of the NXE:3400B scanner, ASML has brought extreme ultraviolet lithography (EUV) to high-volume manufacturing (HVM). The high-EUV power of >200 W being realized with this system satisfies the throughput requirements of HVM, but also requires reconsideration of the imaging aspects of spectral purity, both from the details of the EUV emission spectrum and from the deep-ultraviolet
-
Understanding the influence of three-dimensional sidewall roughness on observed line-edge roughness in scanning electron microscopy images J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-09-01 Luc van Kessel, Thomas Huisman, Cornelis W. Hagen
Background: Line-edge roughness (LER) is often measured from top-down critical dimension scanning electron microscope (CD-SEM) images. The true three-dimensional roughness profile of the sidewall is typically ignored in such analyses. Aim: We study the response of a CD-SEM to sidewall roughness (SWR) by simulation. Approach: We generate random rough lines and spaces, where the SWR is modeled by a known
-
Lithography materials guidelines J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-08-01 Harry J. Levinson
JM3 Co-Editor-in-Chief Harry Levinson introduces new guidelines regarding materials for lithography.
-
Gradient-based source mask and polarization optimization with the hybrid Hopkins–Abbe model J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-09-01 Ming Ding, Zhiyuan Niu, Fang Zhang, Linglin Zhu, Weijie Shi, Aijun Zeng, Huijie Huang
Source mask and polarization optimization (SMPO) is a promising extension of the widely used resolution enhancement technology, source mask optimization (SMO), to further enhance chip manufacturability beyond 28-nm node. Our work is aimed to develop an efficient gradient-based SMPO method by employing the hybrid Hopkins–Abbe imaging model to fulfill the goal. In addition to source and mask variables
-
Investigating extreme ultraviolet radiation chemistry with first-principles quantum chemistry calculations J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-08-01 Jonathan H. Ma, Han Wang, David G. Prendergast, Andrew R. Neureuther, Patrick P. Naulleau
In extreme ultraviolet (EUV) lithography, chemistry is driven by secondary electrons. A deeper understanding of these processes is needed. However, electron-driven processes are inherently difficult to experimentally characterize for EUV materials, impeding targeted material engineering. A computational framework is needed to provide information for rational material engineering and identification
-
Construction of complex logic circuit based on nanoparticles J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-09-01 Zhao Chen, Zhixiang Yin, Zhen Tang, Qiang Zhang
Background: Molecular logic circuits have great potential applications. DNA logic circuit is an important research direction of DNA computing in nanotechnology. DNA self-assembly has become a powerful tool for building nanoscale structures. The combination of different self-assembly methods is an interesting topic. Aim: Two different self-assembly methods are combined to realize large-scale logic circuit
-
Fundamental characterization of stochastic variation for improved single-expose extreme ultraviolet patterning at aggressive pitch J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-07-01 Jennifer Church, Luciana Meli, Jing Guo, Martin Burkhardt, Chris A. Mack, Anuja De Silva, Karen E. Petrillo, Mary A. Breton, Ravi K. Bonam, Romain Lallement, Eric R. Miller, Brad Austin, Shravan Matham, Nelson M. Felix
Background: With aggressive scaling of single-expose (SE) extreme ultraviolet (EUV) lithography to the sub-7-nm node, stochastic variations play a prominent role in defining the lithographic process window (PW). Fluctuations in photon shot noise, absorption, and subsequent chemical reactions can lead to stochastic failure, directly impacting electrical yield. Aim: Fundamental characterization of the
-
Journal Split Will Refocus Technical Communities J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-05-19 Harry Levinson,Hans Zappe
-
Design and modeling of a highly sensitive microelectromechanical system capacitive microphone J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-05-13 Sedighe Babaei Sedaghat,Bahram Azizollah Ganji
Abstract. A single-chip microelectromechanical system (MEMS) capacitive microphone is designed and modeled. The mechanical model of the structure is extracted and the mathematical equations for a description of the microphone behavior are obtained. Then the proposed microphone characteristics are considered. In this structure, by adding Z-shape arms around the diaphragm, diaphragm hardness is decreased
-
Cascade and cluster of correlated reactions as causes of stochastic defects in extreme ultraviolet lithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-05-12 Hiroshi Fukuda
Abstract. Background: Stochastic defects are becoming major concern in the future extreme ultraviolet (EUV) lithography as their probability Pd exponentially increases with decreasing feature size and is highly sensitive to variations in process/mask conditions. Photon shot-noise and discrete/probabilistic nature of materials have been blamed as their causes. Aim: We introduce models for relating Pd
-
High-voltage CD-SEM-based application to monitor 3D profile of high-aspect-ratio features J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-05-12 Wei Sun,Hiroya Ohta,Taku Ninomiya,Yasunori Goto
Abstract. Background: In-line metrology for three-dimensional (3D) profiling high-aspect-ratio (HAR) features is highly important for manufacturing semiconductor devices, particularly for memory devices, such as 3D NAND and DRAM. Aim: Our purpose was to obtain the cross-sectional profiles of the HAR features from top-view critical dimension scanning electron microscopy (CD-SEM) images. Approach: Based
-
Mask-absorber optimization: the next phase J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-05-06 Claire van Lare,Frank Timmermans,Jo Finders
Abstract. We continue our work on the physics of mask-topography-induced phase effects in imaging using extreme ultraviolet (EUV) lithography, and specifically how these effects can be mitigated by alternative mask absorbers. We present a semianalytical model to calculate the mask-topography-induced phase offset and study its trend throughout the entire material space at 13.5-nm wavelength. We demonstrate
-
Efficient Bayesian inversion for shape reconstruction of lithography masks J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-05-05 Nando Farchmin,Martin Hammerschmidt,Philipp-Immanuel Schneider,Matthias Wurm,Bernd Bodermann,Markus Bär,Sebastian Heidenreich
Abstract. Background: Scatterometry is a fast, indirect, and nondestructive optical method for quality control in the production of lithography masks. To solve the inverse problem in compliance with the upcoming need for improved accuracy, a computationally expensive forward model that maps geometry parameters to diffracted light intensities has to be defined. Aim: To quantify the uncertainties in
-
Thermoplastic polyurethane-based flexible multilayer microfluidic devices J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-04-23 Yiqiang Fan,Lei Huang,Rubing Cui,Xuance Zhou,Yajun Zhang
Abstract Background: Microfluidics has been widely used in the biological and medical fields, and polymers are the most widely used materials in microfluidics at present due to their low cost and ease of processing. Both thermoplastics and thermosets were used as the bulk materials in microfluidics. The third option of a material with both advantages from thermoplastics and thermosets will be of great
-
Deep learning-based detection, classification, and localization of defects in semiconductor processes J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-04-20 Dhruv V. Patel,Ravi Bonam,Assad A. Oberai
Abstract. Defects in semiconductor processes can limit yield, increase overall production cost, and also lead to time-dependent critical component failures. Current state-of-the-art optical and electron beam (EB) inspection systems rely on rule-based techniques for defect detection and classification, which are usually rigid in their comparative processes. This rigidity limits overall capability and
-
Line edge roughness measurement on vertical sidewall for reference metrology using a metrological tilting atomic force microscope J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-03-23 Ryosuke Kizu,Ichiko Misumi,Akiko Hirai,Satoshi Gonda
Abstract. Line edge roughness (LER) measurement is one of the metrology challenges for three-dimensional device structures, and LER reference metrology is important for reliable LER measurements. For the purpose of LER reference metrology, we developed an LER measurement technique that can analyze LER distribution along the height of a line pattern, with high resolution and repeatability. A high-resolution
-
Transformational invariance in compact process modeling J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-02-22 Yuri Granik
Abstract. Background: Modern one-digit technological nodes demand strict reproduction of the optical proximity corrections for repeatable congruent patterns. To ensure this property, the optical and process simulations must be invariant to the geometrical transformations of the translation, rotation, and reflection. Simulators must support invariance both in theory, mathematically, and in practice
-
Improved MEMS piezoelectric vibratory stage with reduced off-axis error J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-02-21 Rui Hao,Bei Peng,Huijun Yu,Hu Zhao,Wu Zhou
Abstract. Background: The piezoelectric microvibratory stage as a microelectromechanical system (MEMS) actuator can tilt around the X / Y axis and translate along the Z axis. However, when the vibratory stage is tilted around the X axis, it also has an undesirable tilting angle around the Y axis. It means that the X axis tilting and the Y axis tilting are not independent; therefore, it is significant
-
Design of SOI MEMS-based Bennet’s doubler kinetic energy harvester J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-02-20 Mithlesh Kumar,G. M. A. Murali Krishna,Banibrata Mukherjee,Siddhartha Sen
Abstract. Background: Design of microelectromechanical system based Bennet’s doubler kinetic energy harvester (KEH) is tricky as it has to satisfy the operating criteria of doubler circuit along with the harvester’s design constraints for its operation. Aim: Design guidelines for an electrostatic KEH using Bennet’s doubler circuit along with its experimental validation are presented. Approach: Bennet’s
-
Guest Editorial: A Transition for JM3 J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-02-12 Chris Mack
This guest editorial by former Editor-in-Chief Chris Mack introduces JM3’s new co-editors-in-chief, Harry Levinson and Hans Zappe.
-
Quantitative characterization of absorber and phase defects on EUV reticles using coherent diffraction imaging J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-01-30 Iacopo Mochi,Sara Fernandez,Ricarda Nebling,Uldis Locans,Rajendran Rajeev,Atoosa Dejkameh,Dimitrios Kazazis,Li-Ting Tseng,Serhiy Danylyuk,Larissa Juschkin,Yasin Ekinci
Abstract. Background: Reliable photomask metrology is required to reduce the risk of yield loss in the semiconductor manufacturing process as well as for the research on absorber materials. Actinic pattern inspection (API) of EUV reticles is a challenging problem to tackle with a conventional approach. For this reason, we developed RESCAN, an API platform based on coherent diffraction imaging. Aim:
-
Extracting dimensional parameters of gratings produced with self-aligned multiple patterning using grazing-incidence small-angle x-ray scattering J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-01-28 Mika Pflüger,R. Joseph Kline,Analía Fernández Herrero,Martin Hammerschmidt,Victor Soltwisch,Michael Krumrey
Abstract. Background: To ensure consistent and high-quality semiconductor production at future logic nodes, additional metrology tools are needed. For this purpose, grazing-incidence small-angle x-ray scattering (GISAXS) is being considered because measurements are fast with a proven capability to reconstruct average grating line profiles with high accuracy. Aim: GISAXS measurements of grating line
-
Fabrication of polymeric photonic structures using dip-pen nanolithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-01-07 Zeev Fradkin,Marcos Roitman,Amos Bardea,Roy Avrahamy,Yeoshua Bery,Hanan Ohana,Moshe Zohar
Abstract. Dip-pen nanolithography (DPN) is a low-cost, versatile, bench-top technology for direct patterning of materials over surfaces. Our study reports on the production of two-dimensional optical grating nanostructures based on polymers, using DPN. The influence of both the ink composition and the dwell time were investigated. Prototypes of phase masks were manufactured, and their main characteristics
-
Wear comparison of critical dimension-atomic force microscopy tips. J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2020-01-01 Ndubuisi G Orji,Ronald G Dixson,Ernesto Lopez,Bernd Irmer
Nanoscale wear affects the performance of atomic force microscopy (AFM)-based measurements for all applications including process control measurements and nanoelectronics characterization. As such, methods to prevent or reduce AFM tip wear is an area of active research. However, most prior work has been on conventional AFMs rather than critical dimension AFM (CD-AFM). Hence, less is known about CD-AFM
-
Reduction in a-Si:H density utilizing a secondary plasma J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-12-28 Jan Uhilg,David E. Barlaz,David N. Ruzic
Abstract. Following the need to improve packaging and contact layers for photovoltaics and other optoelectronic applications, a renewed interest in the fabrication of thin, low-density silicon films has arisen. We demonstrate a reactive sputtering technique utilizing a secondary plasma to crack hydrogen gas during physical vapor deposition of silicon layers. Cracking efficiency of the gas varies heavily
-
Self-aligned double patterning for active trim contacts with anisotropic pattern pitches in sub-20 nm dynamic random access memories J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-12-18 Kiseok Lee,Dongoh Kim,Chansic Yoon,Taejin Park,Sunghee Han,Yoosang Hwang,Kyupil Lee,Hokyu Kang,Hyoungsub Kim
Background: With continuous decrease in the technology node of dynamic random access memories (DRAMs) down to sub-20 nm, the self-aligned double patterning (SADP) is an effective approach to generate two-dimensional (2-D) patterns, particularly contact arrays. Aim: We demonstrate a patterning scheme using the SADP technique to produce active trim contacts with anisotropic pattern pitches. Approach:
-
Model improvements to simulate charging in scanning electron microscope J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-12-05 Kerim T. Arat,Thomas Klimpel,Cornelis W. Hagen
Abstract. Background: Charging of insulators is a complex phenomenon to simulate since the accuracy of the simulations is very sensitive to the interaction of electrons with matter and electric fields. Aim: In this study, we report model improvements for a previously developed Monte-Carlo simulator to more accurately simulate samples that charge. Approach: The improvements include both modeling of
-
Tunable microlaser based on precisely formed dye-doped microsphere cavity J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-11-28 Behzad Mohammadfam,Hadi Veladi,Reza Yadipour,Habib Khoshsima
Abstract. Background: The benefits of microresonator lasers include easy and low-cost fabrication methods and high-quality factor of microresonators, which results in low threshold current of the fabricated laser. However, the lack of tunable fabrication methods with precise size and spacing is a great challenge. Aim: Based on a microsphere fabrication method that relies on injection and surface tension
-
Retrospective on VLSI value scaling and lithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-11-26 Michael L. Rieger
Abstract. In recent decades, the rate of shrinking integrated-circuit components has slowed as challenges accumulate. Yet, in part by virtue of an accelerating rate of cleverness, the end-user value of new semiconductor processes steadily advances. On top of the miniaturization benefits delivered by optical lithography, value is boosted by innovations in wafer processing, mask synthesis, materials
-
Design, simulation, and fabrication of three-dimensional microsystem components using grayscale photolithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-11-19 Melissa A. Smith,Shaun Berry,Lalitha Parameswaran,Christopher Holtsberg,Noah Siegel,Ronald Lockwood,Michael P. Chrisp,Daniel Freeman,Mordechai Rothschild
Abstract. Grayscale lithography is a widely known but underutilized microfabrication technique for creating three-dimensional (3-D) microstructures in photoresist. One of the hurdles for its widespread use is that developing the grayscale photolithography masks can be time-consuming and costly since it often requires an iterative process, especially for complex geometries. We discuss the use of PROLITH
-
SoulNet: ultrafast optical source optimization utilizing generative neural networks for advanced lithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-11-18 Ying Chen,Yibo Lin,Lisong Dong,Tianyang Gai,Rui Chen,Yajuan Su,Yayi Wei,David Z. Pan
Abstract. An optimized source has the ability to improve the process window during lithography in semiconductor manufacturing. Source optimization is always a key technique to improve printing performance. Conventionally, source optimization relies on mathematical–physical model calibration, which is computationally expensive and extremely time-consuming. Machine learning could learn from existing
-
Focus leveling improvement using optimized wafer edge settings J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-11-12 Lucas Lamonds,Bryan Orf,Michael Frachel,Xaver Thrun,Georg Erley,Philip Groeger,Alexander Muehle,Boris Habets
Abstract. Background: To reduce defocus from leveling errors at the wafer edge, modern exposure tools offer a broad range of advanced leveling controls. These additional degrees of freedom offer better leveling performance, but users hesitate to spend the tool time, wafers, and engineering hours necessary to find and maintain the optimal settings experimentally. Aim: In order to fully explore the potential
-
Stability studies on a sensitive EUV photoresist based on zinc metal oxoclusters J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-11-09 Neha Thakur,Li-Ting Tseng,Michaela Vockenhuber,Yasin Ekinci,Sonia Castellanos
Abstract. Background: Hybrid inorganic-organic materials have emerged as promising candidates for EUV resists. However, knowledge on their stability when deposited as thin films is essential for their performance in EUV lithography. Aim: We investigate whether the molecular structure of Zn-based metal oxoclusters is preserved upon thin film deposition and study aging processes of the thin film under
-
Antireflective light-blocking layers using a liquid top matte coating. J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-01-09 Matthew Hamblin,Thane Downing,Sophia Anderson,Erik Hamilton,Doyoung Kim,Aaron Hawkins
Methods exist for the creation of antireflective thin film layers; however, many of these methods depend on the use of high temperatures, harsh chemical etches, or are made with difficult pattern materials, rendering them unusable for many applications. In addition, most methods of light blocking are specifically designed to increase light coupling and absorption in the substrate, making them incompatible
-
Design and characterization of a package-less hybrid PDMS-CMOS-FR4 contact-imaging system for microfluidic integration. J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2018-07-24 Andres Galan,Gregory P Nordin,Shiuh-Hua Wood Chiang
We demonstrate a hybrid "package-less" polydimethylsiloxane (PDMS)-complementary-metal-oxide-semiconductor (CMOS)-FR4 system for contact imaging. The system embeds the CMOS image sensor directly in a PDMS layer instead of the standard chip package to support microfluidic structures much larger and more complex than those in prior art. The CMOS/PDMS layer is self-aligned to form a continuous, flat surface
-
Evaluation of carbon nanotube probes in critical dimension atomic force microscopes. J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2016-11-15 Jinho Choi,Byong Chon Park,Sang Jung Ahn,Dal-Hyun Kim,Joon Lyou,Ronald G Dixson,Ndubuisi G Orji,Joseph Fu,Theodore V Vorburger
The decreasing size of semiconductor features and the increasing structural complexity of advanced devices have placed continuously greater demands on manufacturing metrology, arising both from the measurement challenges of smaller feature sizes and the growing requirement to characterize structures in more than just a single critical dimension. For scanning electron microscopy, this has resulted in
-
Advancing X-ray scattering metrology using inverse genetic algorithms. J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2016-08-24 Adam F Hannon,Daniel F Sunday,Donald Windover,R Joseph Kline
We compare the speed and effectiveness of two genetic optimization algorithms to the results of statistical sampling via a Markov chain Monte Carlo algorithm to find which is the most robust method for determining real space structure in periodic gratings measured using critical dimension small angle X-ray scattering. Both a covariance matrix adaptation evolutionary strategy and differential evolution
-
Lateral Tip Control Effects in CD-AFM Metrology: The Large Tip Limit. J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2016-04-19 Ronald G Dixson,Ndubuisi G Orji,Ryan S Goldband
Sidewall sensing in critical dimension atomic force microscopes (CD-AFMs) usually involves continuous lateral dithering of the tip or the use of a control algorithm and fast response piezo actuator to position the tip in a manner that resembles touch-triggering of coordinate measuring machine (CMM) probes. All methods of tip position control, however, induce an effective tip width that may deviate
-
Improved HNA isotropic etching for large-scale highly symmetric toroidal silicon molds with <10-nm roughness J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-30 Zesen Bai,Yinpeng Wang,Qiancheng Zhao,Zhenchuan Yang,Jian Cui,Guizhen Yan
Abstract. Microsystem technology is well suited to batch fabricate microhemispherical resonator gyroscopes (HRG) to reduce cost and volume. In the processing of micro-HRG, a crucial step is to get a 3-D hemispherical mold with the large-scale, high-symmetry, and smooth surface. Compared with the hemispherical resonator, the toroidal resonator has the smaller frequency split and larger effective resonance
-
Surface effects in simulations of scanning electron microscopy images J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-30 Luc van Kessel,Cornelis W. Hagen,Pieter Kruit
Abstract. Background: Monte Carlo simulations of scanning electron microscopy (SEM) images ignore most surface effects, such as surface plasmons. Previous experiments have shown that surface plasmons play an important role in the emission of secondary electrons (SEs). Aim: We investigate the influence of incorporating surface plasmons into simulations of low-voltage critical dimension SEM (CD-SEM)
-
Method to fabricate taper waveguide using fixed-beam moving stage electron-beam lithography J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-17 Viphretuo Mere,Shankar Kumar Selvaraja
Abstract. A method of tapering waveguides using fixed-electronic-beam-moving-stage (FBMS) paths is presented. The tapering is achieved by joining two FBMS paths to a common point. Compared to conventional area and FBMS tapering methods, the proposed method offers smooth and alignment-error-free tapering between waveguides of different widths. We experimentally demonstrate a fully functional FBMS patterned
-
Overlay error investigation for metal containing resist J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-10 Roel Gronheid,Satomi Higashibata,Onur Demirer,Yusuke Tanaka,Dieter Van Den Heuvel,Ming Mao,Masaru Suzuki,Satoshi Nagai,Waikin Li,Philippe Leray
Abstract. Metal containing resists (MCR) are one of the candidates for extreme ultraviolet resists aiming to achieve the resolution, linewidth roughness, and sensitivity requirements of advanced design nodes. MCRs intrinsically have high etch resistance owing to their metal content. Therefore, low resist thickness (∼18 nm) is sufficient to transfer resist patterns into an underlying hard mask. Also
-
Kinetic approach to defect reduction in directed self-assembly J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-10 Jiajing Li,Paulina A. Rincon-Delgadillo,Hyo Seon Suh,Geert Mannaert,Paul F. Nealey
Abstract. As a potential solution to next-generation nanolithography, directed self-assembly (DSA) of block copolymers (BCPs) is still restrained in high-volume manufacturing primarily due to its defectivity issue. Though defects possess greater free energies than aligned morphologies and are highly energetically unfavorable, they can be kinetically trapped by the energy barriers and persist for a
-
Review of microshutters for switchable glass J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-08 Boris Lamontagne,Norman R. Fong,In-Hyouk Song,Penghui Ma,Pedro Barrios,Daniel Poitras
Abstract. Background: Switchable glasses allow the control of light transmission—an attractive property for applications such as car sunroofs, aircraft windows, building windows, augmented reality, imaging, and displays. Commercialized switchable glasses have severe limitations, such as speed, cost, and operating conditions, among others. Microshutters, a type of switchable glass with very distinctive
-
Maskless EUV lithography, an alternative to e-beam J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-10-04 Kenneth C. Johnson
Abstract. Background: The resolution capability of EUV lithography has reached parity with e-beam, raising the possibility that maskless EUV could supplant e-beam for mask writing and low-volume wafer patterning. Aim: We outline a maskless EUV scanner design with a 13.5-nm operating wavelength and numerical aperture of 0.55. Approach: A microlens array partitions radiation from a commercial laser-produced
-
Through-pellicle imaging of extreme ultraviolet mask with extreme ultraviolet ptychography microscope J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-09-28 Dong Gon Woo,Young Woong Kim,Yong Ju Jang,Seong Ju Wi,Jinho Ahn
Abstract. Background: An extreme ultraviolet (EUV) pellicle is necessary to increase the process yield even though the declining throughput is a big concern. However, an EUV metrology/inspection tool for this pellicle has not been commercialized yet. Aim: The goal of this study is to verify the pellicle/mask inspection feasibility of EUV scanning lensless imaging (ESLI) and verify the impact of contaminants
-
CD metrology for EUV resist using high-voltage CD-SEM: shrinkage, image sharpness, repeatability, and line edge roughness J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-09-18 Daisuke Bizen,Shunsuke Mizutani,Makoto Sakakibara,Makoto Suzuki,Yoshinori Momonoi
Abstract. Background: Extreme ultraviolet (EUV) lithography was introduced for the high-volume manufacturing of state-of-the-art semiconductor devices in 2019. One of the issues for the CD metrology of an EUV resist pattern is the resist shrinkage since the ratio of the shrinkage to the CD increases in EUV lithography compared with that in immersion argon fluoride lithography. Aim: A CD-SEM metrology
-
Understanding photoacid generator distribution at the nanoscale using massive cluster secondary ion mass spectrometry J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-09-13 Xisen Hou,Mingqi Li,Michael J. Eller,Stanislav V. Verkhoturov,Emile A. Schweikert,Peter Trefonas
Abstract. Background: The homogeneity of photoacid generator (PAG) is a critical factor influencing the resolving capability and the sidewall roughness of a photoresist, yet fundamental understanding of the PAG homogeneity lacks at the nanoscale. Aim: We present a methodology, massive cluster secondary ion mass spectrometry (MC-SIMS), to determine PAG homogeneity on a 10- to 15-nm scale at the photoresist
-
Development of standard samples with programmed defects for evaluation of pattern inspection tools for 7-nm and smaller nodes J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-09-13 Susumu Iida,Takamitsu Nagai,Takayuki Uchiyama
Abstract. Background: Continued shrinkage of pattern size has caused difficulties in detecting small defects. Multibeam scanning electron microscopy (SEM) is a potential method for pattern inspection below 7-nm node. Performance of the tool depends on charge control, resolution, and defect detection capability. Aim: The goal of this study is to develop a method for evaluating the performance of multibeam
-
Expanded area metrology for tip-based wafer inspection in the nanomanufacturing of electronic devices J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-09-05 Tsung-Fu Yao,Liam G. Connolly,Michael Cullinan
Abstract. Effective measurement of fabricated structures is critical to the cost-effective production of modern electronics. However, traditional tip-based approaches are poorly suited to in-line inspection at current manufacturing speeds. We present the development of a large area inspection method to address throughput constraints due to the narrow field-of-view (FOV) inherent in conventional tip-based
-
Potential use of laser-induced breakdown spectroscopy combined laser cleaning for inspection of particle defect components on silicon wafer J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-08-27 Lituo Liu,Guannan Li,Weihu Zhou,Xiaobin Wu,Yu Wang
Abstract. The contamination control of silicon wafer surface is more and more strict. Many investigations have been done to inspect defects on silicon wafer. However, rare studies have been reported on defect component inspection, which is also critical to trace the source of defects and monitor manufacturing processes in time. In order to inspect the components of contaminated particles on silicon
-
Extreme UV secondary electron yield measurements of Ru, Sn, and Hf oxide thin films J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-08-23 Jacobus M. Sturm,Feng Liu,Erik Darlatt,Michael Kolbe,Antonius A. I. Aarnink,Christopher J. Lee,Fred Bijkerk
Abstract. Background: The secondary electron yield (SEY) of materials is important for topics as nanoparticle photoresists and extreme ultraviolet (EUV) optics contamination. Aim: Experimentally measure SEY and secondary electron energy distributions for Ru, Sn, and Hf oxide. Approach: The SEY and energy distribution resulting from 65 to 112 eV EUV radiation are measured for thin-film oxides or films
-
Tilted beam scanning electron microscopy, 3-D metrology for microelectronics industry J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-08-19 Charles Valade,Jérôme Hazart,Sébastien Bérard-Bergery,Elodie Sungauer,Maxime Besacier,Cécile Gourgon
Abstract. In the microelectronics industry, most of the dimensional metrology relies on critical dimension (CD) estimation. These measurements are mainly performed by critical dimension scanning electron microscopy, because it is a very fast, mainly nondestructive method and enables direct measurements on wafers. To measure CDs, the distance is estimated between the edges of the observed pattern on
-
Orientation control of high-χ triblock copolymer for sub-10 nm patterning using fluorine-containing polymeric additives J. Micro Nanopatter. Mater. Metrol. (IF 2.0) Pub Date : 2019-07-25 Jiajing Li,Chun Zhou,Xuanxuan Chen,Paulina A. Rincon Delgadillo,Paul F. Nealey
Abstract. Directed self-assembly (DSA) of block copolymers (BCPs) is one of the most promising techniques to tackle the ever-increasing demand for sublithographic features in semiconductor industries. BCPs with high Flory–Huggins parameter (χ) are of particular interest due to their ability to self-assemble at the length scale of sub-10 nm. However, such high-χ BCPs typically have imbalanced surface