当前位置: X-MOL 学术Integration › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
The Involution Tool for Accurate Digital Timing and Power Analysis
Integration ( IF 1.9 ) Pub Date : 2020-09-22 , DOI: 10.1016/j.vlsi.2020.09.007
Daniel Öhlinger , Jürgen Maier , Matthias Függer , Ulrich Schmid

We introduce the prototype of a digital timing simulation and power analysis tool for integrated circuits that supports the involution delay model (Függer et al. 2019). Unlike the pure and inertial delay models typically used in digital timing analysis tools, the involution model faithfully captures short pulse propagation and related effects. Our Involution Tool facilitates experimental accuracy evaluation of variants of involution models, by comparing their timing and power predictions to those from SPICE and standard timing analysis tools. The tool is easily customizable w.r.t. instances of the involution model and circuits, and supports automatic test case generation and parameter sweeping.

We demonstrate the capabilities of the Involution Tool by providing timing and power analysis results for three different circuits, namely, an inverter tree, the clock tree of an open-source processor, and a combinational circuit that involves multi-input NAND gates. Our evaluation uses two different technologies (15 nm and 65 nm CMOS), and three different variants of involution channels (Exp, Hill and SumExp-channels). It turns out that the timing and power predictions of all involution models are significantly better than the predictions obtained by standard digital simulations for the inverter tree and the clock tree, with the SumExp-channel channel clearly outperforming the others. For the NAND circuit, the performance of any involution model is generally comparable but not significantly better than that of standard models, however, which reveals some shortcomings of the existing involution channels for modeling multi-input gates.



中文翻译:

用于精确数字定时和功率分析的对合工具

我们介绍了用于集成电路的数字时序仿真和功率分析工具的原型,该工具支持对合延迟模型(Függer等人2019)。与数字时序分析工具中通常使用的纯延迟和惯性延迟模型不同,对合模型忠实地捕获了短脉冲传播和相关影响。我们的Involution工具通过将其时序和功率预测与SPICE和标准时序分析工具中的时序和功率预测进行比较,从而促进了对Involv模型的实验准确性评估。该工具是可轻松自定义渐进模型和电路实例的工具,并支持自动测试用例生成和参数清除。

我们通过提供三种不同电路的时序和功率分析结果来证明Involution工具的功能,这三种电路分别是逆变器树,开源处理器的时钟树以及包含多输入与非门的组合电路。我们的评估使用了两种不同的技术(15 nm和65 nm CMOS),以及三种不同的内推通道(Exp,Hill和SumExp-channels)。事实证明,所有对合模型的时序和功率预测都明显优于通过标准数字仿真器对逆变器树和时钟树进行的预测,而SumExp-channel通道的性能明显优于其他模型。对于NAND电路,任何融合模型的性能都可以与之媲美,但并不比标准模型好得多。

更新日期:2020-09-25
down
wechat
bug