当前位置: X-MOL 学术IEEE Micro › 论文详情
Our official English website, www.x-mol.net, welcomes your feedback! (Note: you will need to create a separate account there.)
BlackParrot: An Agile Open Source RISC-V Multicore for Accelerator SoCs
IEEE Micro ( IF 3.6 ) Pub Date : 2020-07-01 , DOI: 10.1109/mm.2020.2996145
Daniel Petrisko 1 , Farzam Gilani 1 , Mark Wyse 1 , Dai Cheol Jung 1 , Scott Davidson 1 , Paul Gao 1 , Chun Zhao 1 , Zahra Azad 2 , Sadullah Canakci 2 , Bandhav Veluri 1 , Tavio Guarino 1 , Ajay Joshi 2 , Mark Oskin 1 , Michael Bedford Taylor 1
Affiliation  

This article introduces BlackParrot, which aims to be the default open-source, Linux-capable, cache-coherent, 64-bit RISC-V multicore used by the world. In executing this goal, our research aims to advance the world's knowledge about the “software engineering of hardware.” Although originally bootstrapped by the University of Washington and Boston University via DARPA funding, BlackParrot strives to be community driven and infrastructure agnostic; a multicore which is Pareto optimal in terms of power, performance, area, and complexity. In order to ensure BlackParrot is easy to use, extend, and, most importantly, trust, development is guided by three core principles: Be Tiny, Be Modular, and Be Friendly. Development efforts have prioritized the use of intentional interfaces and modularity and silicon validation as first-order design metrics, so that users can quickly get started and trust that their design will perform as expected when deployed. BlackParrot has been validated in a GlobalFoundries 12-nm FinFET tapeout. BlackParrot is ideal as a standalone Linux processor or as a malleable fabric for an agile accelerator SoC design flow.

中文翻译:

BlackParrot:用于加速器 SoC 的敏捷开源 RISC-V 多核

本文介绍了 BlackParrot,它旨在成为世界上使用的默认开源、支持 Linux、缓存一致的 64 位 RISC-V 多核。为实现这一目标,我们的研究旨在提升世界对“硬件的软件工程”的认识。尽管最初由华盛顿大学和波士顿大学通过 DARPA 资助自举,但 BlackParrot 努力成为社区驱动和基础设施不可知论者;在功率、性能、面积和复杂性方面都是帕累托最优的多核。为了确保 BlackParrot 易于使用、扩展以及最重要的信任,开发遵循三个核心原则:Be Tiny、Be Modular 和 Be Friendly。开发工作优先考虑使用有意接口和模块化以及硅验证作为一阶设计指标,以便用户可以快速入门并相信他们的设计在部署时会按预期执行。BlackParrot 已在 GlobalFoundries 12 纳米 FinFET 流片中得到验证。BlackParrot 非常适合作为独立的 Linux 处理器或作为敏捷加速器 SoC 设计流程的可延展结构。
更新日期:2020-07-01
down
wechat
bug